首页> 外文会议>IEEE Asian Solid State Circuits Conference >A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator
【24h】

A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator

机译:具有4.5至13倍节能的嵌入式微处理器,带有主要静态/部分动态可重构阵列加速器

获取原文

摘要

Conventional processors are energy in-efficient in that they fail to utilize the fact that most of their time and energy are spent on heavily-recursively executed small code segments. A DYNaSTA accelerator, proposed and implemented, is an architectural solution to such a problem. It is an reconfigurable array accelerator featuring an hybrid architecture: only a limited portion is reconfigured dynamically (i.e., frequently) while the rest is reconfigured statically (i.e., only occasionally). This way, the DYNaSTA accelerator tries to achieve both flexibility and energy-efficiency at the same time. Results of power simulation and fabricated chip measurements have been quite encouraging: 4.5 to 13 times energy efficiency will be made possible by this accelerator when compared with a conventional embedded microprocessor.
机译:常规处理器的能源效率很低,因为它们无法利用以下事实:大部分时间和精力都花在了递归执行的小代码段上。提出并实现的DYNaSTA加速器是针对此问题的体系结构解决方案。它是一种具有混合体系结构的可重新配置的阵列加速器:只有有限的一部分是动态(即频繁)重新配置的,而其余部分是静态(即仅偶尔)重新配置的。这样,DYNaSTA加速器试图同时实现灵活性和节能性。功率仿真和芯片制造结果的测试结果令人鼓舞:与传统的嵌入式微处理器相比,该加速器将使能效提高4.5到13倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号