首页> 外文会议>Design, Automation and Test in Europe Conference >Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation
【24h】

Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation

机译:布局感知,IR下降耐受转换故障模式生成

获取原文

摘要

Market and customer demands have continued to push the limits of CMOS performance. At-speed test has become a common method to ensure these high performance chips are being shipped to the customers fault-free. However, at-speed tests have been known to create higher-than-average switching activity, which normally is not accounted for in the design of the power supply network. This potentially creates conditions for additional delay in the chip; causing it to fail during test. In this paper, we propose a pattern compaction technique that considers the layout and gate distribution when generating transition delay fault patterns. The technique focuses on evenly distributing switching activity generated by the patterns across the layout rather than allowing high switching activity to occur in a small area in the chip that could occur with conventional delay fault pattern generation. Due to the relationship between switching activity and IR-drop, the reduction of switching will prevent large IR-drop in high demand regions while still allowing a suitable amount of switching to occur elsewhere on the chip to prevent fault coverage loss. This even distribution of switching on the chip will also result in avoiding hot-spots.
机译:市场和客户需求继续推动CMOS性能的极限。在速度测试已成为一种常用的方法,以确保这些高性能芯片已运送给客户无故障。然而,已知在速度测试创建高于平均平均的切换活动,这通常不会占电源网络的设计。这可能会对芯片额外延迟产生条件;在测试期间导致它失败。在本文中,我们提出了一种模式压缩技术,其在生成转换延迟故障模式时考虑布局和栅极分布。该技术侧重于跨越布局的图案产生的均匀分布切换活动,而不是允许在芯片中的芯片中的小区域中发生高切换活动,该延迟故障模式生成可能发生。由于切换活动与IR下降之间的关系,切换的减少将防止高需求区域的大型IR降,同时仍然允许适当的切换在芯片上的其他地方发生以防止故障覆盖损失。甚至在芯片上打开的这种均匀分布也会导致避免热点。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号