【24h】

On-chip communication design: roadblocks and avenues

机译:片上通信设计:障碍和途径

获取原文
获取外文期刊封面目录资料

摘要

The semiconductor industry is experiencing a paradigm shift from "computation-bound design" to "communication-bound design": the number of transistors that can be reached in a clock cycle, and not those that can be integrated on a chip, will drive the design process. Interconnect latency will have a major impact on the design of on-chip communication architectures, which increasingly rely on wire pipelining to go beyond the capabilities of traditional wire buffering. The insertion of stateful repeaters on long wires, instead of simply stateless repeaters, carries major sequences for the synchronous design methodology. This is the foundation of the design flows for the majority of commercial chips today, but, if left unchanged, will lead to an exacerbation of the timing closure problem for tomorrow's design flows. New methodologies that regard the chip as a distributed system are necessary. Latency-insensitive design is a step in this direction.
机译:半导体行业正在经历从“计算约束设计”到“通信约束设计”的范式转变:在一个时钟周期内可达到的晶体管数量,而不是可集成在芯片上的晶体管数量,将推动半导体芯片的发展。设计过程。互连等待时间将对片上通信体系结构的设计产生重大影响,片上通信体系结构越来越依赖导线流水线来超越传统导线缓冲的功能。在长线上插入状态转发器,而不是简单的无状态转发器,会带来同步设计方法的主要流程。这是当今大多数商用芯片设计流程的基础,但是,如果保持不变,则将加剧明天设计流程的时序收敛问题。需要将芯片视为分布式系统的新方法。对延迟不敏感的设计是朝这个方向迈出的一步。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号