首页> 外文会议>International Symposium on Quality Electronic Design >Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture
【24h】

Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture

机译:支持VFI的3D NoC架构的性能-热折衷

获取原文

摘要

Small-world (SW) network-enabled 3D NoC (3D SWNoC) is capable of achieving better performance and lower energy consumption compared to conventional 3D MESH architectures. However, the 3D SWNoC still suffers from high power density and the resultant thermal hotspots leading to functionality and reliability concerns over time. The power consumption and thermal profiles of the 3D SWNoC can be improved by incorporating a Voltage Frequency Island (VFI)-based power management strategy. In this paper, we perform a detailed design space exploration for the 3D SWNoC incorporating VFI. We demonstrate that for the considered applications, the VFI enabled 3D SWNoC lowers the energy-delay-product (EDP) up to 63.3%, compared to a 2D MESH without VFI. Moreover, by incorporating VFI, we reduce the maximum temperature of 3D SWNoC by up to 24.4% compared to the non-VFI counterpart.
机译:与传统的3D MESH架构相比,启用小世界(SW)网络的3D NoC(3D SWNoC)能够实现更好的性能和更低的能耗。但是,3D SWNoC仍然会遭受高功率密度的困扰,并且随着时间的推移,由此产生的热点会导致功能性和可靠性问题。通过结合基于电压频率岛(VFI)的电源管理策略,可以改善3D SWNoC的功耗和热量分布。在本文中,我们对包含VFI的3D SWNoC进行了详细的设计空间探索。我们证明,与没有VFI的2D MESH相比,对于考虑的应用,启用了VFI的3D SWNoC最多可将能量延迟乘积(EDP)降低63.3%。此外,通过整合VFI,与非VFI同类产品相比,我们将3D SWNoC的最高温度降低了24.4%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号