【24h】

ReVIVaL

机译:复兴

获取原文

摘要

Process variations are poised to significantly degrade performance benefits sought by moving to the next nanoscale technology node. Parameter fluctuations in devices can introduce large variations in peak operation among chips, among cores on a single chip, and among microarchitectural blocks within one core. Hence, it will be difficult to only rely on traditional frequency binning to efficiently cover the large variations that are expected. Furthermore, multiple voltage/frequency domains introduce significant hardware overhead and alone cannot address the full extent of delay variations expected in future multi-core systems. In this paper, we present ReVIVaL, which combines two fine-grained post-fabrication tuning techniques---voltage interpolation(VI) and variable latency(VL). We show that the frequency variation between chips, between cores on one chip, and between functional units within cores can be reduced to a very small range. The effectiveness of these techniques are further verified through experiments on test chips fabricated in a 130nm CMOS process. Detailed architectural simulations of multi-core processors demonstrate significant performance and power advantages are possible by combining variable latency with voltage interpolation.
机译:工艺变化有望显着降低通过移至下一个纳米级技术节点所寻求的性能优势。器件中的参数波动可能会导致芯片之间,单个芯片上的内核以及一个内核内的微体系结构模块之间的峰值操作发生很大的变化。因此,仅依靠传统的频率合并来有效地覆盖预期的大变化将是困难的。此外,多个电压/频域会带来大量的硬件开销,仅靠它们无法解决未来多核系统中预期的延迟变化的全部范围。在本文中,我们介绍了ReVIVaL,它结合了两种细粒度的后加工调整技术-电压插值(VI)和可变等待时间(VL)。我们表明,芯片之间,一个芯片上的内核之间以及内核内的功能单元之间的频率变化可以减小到很小的范围。通过在130nm CMOS工艺中制造的测试芯片上进行的实验,进一步验证了这些技术的有效性。多核处理器的详细架构仿真表明,通过将可变等待时间与电压插值相结合,可以实现显着的性能和功耗优势。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号