首页> 外文会议>Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012 >Workload-aware voltage regulator optimization for power efficient multi-core processors
【24h】

Workload-aware voltage regulator optimization for power efficient multi-core processors

机译:针对工作效率高的多核处理器的工作负载感知电压调节器优化

获取原文
获取原文并翻译 | 示例

摘要

Modern multi-core processors use power management techniques such as dynamic voltage and frequency scaling (DVFS) and clock gating (CG) which cause the processor to operate in various performance and power states depending on runtime workload characteristics. A voltage regulator (VR), which is designed to provide power to the processor at its highest performance level, can significantly degrade in efficiency when the processor operates in the deep power saving states. In this paper, we propose VR optimization techniques to improve the energy efficiency of the processor + VR system by using the workload dependent P- and C-state residency of real processors. Our experimental results for static VR optimization show up to 19%, 20%, and 4% reduction in energy consumption for workstation, mobile and server multi-core processors. We also investigate the effect of dynamically changing VR parameters on the energy efficiency compared to the static optimization.
机译:现代多核处理器使用诸如动态电压和频率缩放(DVFS)和时钟门控(CG)之类的电源管理技术,这些技术使处理器可以根据运行时工作负载特征在各种性能和电源状态下运行。电压调节器(VR)旨在为处理器提供最高性能水平的电源,当处理器在深度节能状态下工作时,其效率会大大降低。在本文中,我们提出了VR优化技术,以通过使用实际处理器依赖于工作负载的P状态和C状态驻留来提高处理器+ VR系统的能效。我们的静态VR优化实验结果显示,工作站,移动和服务器多核处理器的能耗分别降低了19%,20%和4%。与静态优化相比,我们还研究了动态更改VR参数对能源效率的影响。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号