掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Design, Automation & Test in Europe Conference & Exhibition
Design, Automation & Test in Europe Conference & Exhibition
召开年:
2017
召开地:
Swisstech(CH)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Schedulability-aware SPM Allocation for preemptive hard real-time systems with arbitrary activation patterns
机译:
具有可预见性的SPM分配,用于具有任意激活模式的抢先式硬实时系统
作者:
Arno Luppold
;
Heiko Falk
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Optimization;
Resource management;
Timing;
Dynamic scheduling;
Density functional theory;
Jitter;
Real-time systems;
2.
A Log-aware Synergized scheme for page-level FTL design
机译:
用于页面级FTL设计的对数感知协同方案
作者:
Chu Li
;
Dan Feng
;
Yu Hua
;
Fang Wang
;
Chuntao Jiang
;
Wei Zhou
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Random access memory;
Reliability;
Radiation detectors;
Programming;
Solids;
Time factors;
Writing;
3.
MALRU: Miss-penalty aware LRU-based cache replacement for hybrid memory systems
机译:
MALRU:针对混合内存系统的基于惩罚错觉的基于LRU的缓存替换
作者:
Di Chen
;
Hai Jin
;
Xiaofei Liao
;
Haikun Liu
;
Rentong Guo
;
Dong Liu
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Random access memory;
Nonvolatile memory;
Measurement;
Benchmark testing;
Layout;
Mathematical model;
Hybrid power systems;
4.
Endurance management for resistive Logic-In-Memory computing architectures
机译:
电阻式内存中计算架构的耐久性管理
作者:
Saeideh Shirinzadeh
;
Mathias Soeken
;
Pierre-Emmanuel Gaillardon
;
Giovanni De Micheli
;
Rolf Drechsler
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Random access memory;
Memory management;
Boolean functions;
Inverters;
Microprocessors;
Nonvolatile memory;
5.
Live together or Die Alone: Block cooperation to extend lifetime of resistive memories
机译:
共同生活或独自一人死亡:阻止合作以延长电阻记忆的寿命
作者:
Mohammad Khavari Tavana
;
Amir Kavyan Ziabari
;
David Kaeli
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Metadata;
Phase change materials;
Random access memory;
Error correction;
Error correction codes;
Layout;
Standards;
6.
Secure Cyber-Physical Systems: Current trends, tools and open research problems
机译:
安全的网络物理系统:当前趋势,工具和开放式研究问题
作者:
Anupam Chattopadhyay
;
Alok Prakash
;
Muhammad Shafique
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Security;
Object oriented modeling;
Mathematical model;
Standards;
Cyber-physical systems;
Tools;
Complexity theory;
7.
Don't fall into a trap: Physical side-channel analysis of ChaCha20-Poly1305
机译:
不要陷入陷阱:ChaCha20-Poly1305的物理侧通道分析
作者:
Bernhard Jungk
;
Shivam Bhasin
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Ciphers;
Computational modeling;
Radiation detectors;
Correlation;
Algorithm design and analysis;
Timing;
8.
The RowHammer problem and other issues we may face as memory becomes denser
机译:
随着内存越来越密集,我们可能会遇到RowHammer问题和其他问题
作者:
Onur Mutlu
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Security;
Failure analysis;
Reliability;
DRAM chips;
Error correction codes;
Virtual machining;
9.
Compromising FPGA SoCs using malicious hardware blocks
机译:
使用恶意硬件模块破坏FPGA SoC
作者:
Nisha Jacob
;
Carsten Rolfes
;
Andreas Zankl
;
Johann Heyszl
;
Georg Sigl
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Field programmable gate arrays;
IP networks;
Hardware;
Embedded systems;
Security;
Program processors;
10.
Inspiring trust in outsourced integrated circuit fabrication
机译:
激发对外包集成电路制造的信任
作者:
Siddharth Garg
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Fabrication;
Foundries;
Security;
Hardware;
Trojan horses;
Integrated circuit interconnections;
11.
Automatic technology migration of analog IC designs using generic cell libraries
机译:
使用通用单元库自动进行模拟IC设计的技术移植
作者:
José Cachaço
;
Nuno Machado
;
Nuno Lourenço
;
Jorge Guilherme
;
Nuno Horta
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Libraries;
Tools;
Optimization;
Databases;
Topology;
Integrated circuit modeling;
Computer architecture;
12.
Noise-sensitive feedback loop identification in linear time-varying analog circuits
机译:
线性时变模拟电路中的噪声敏感反馈回路识别
作者:
Ang Li
;
Peng Li
;
Tingwen Huang
;
Edgar Sánchez-Sinencio
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Impedance;
Harmonic analysis;
Linear systems;
Algorithm design and analysis;
Transfer functions;
Analog circuits;
Mixers;
13.
CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking
机译:
CAnDy-TM:使用模型检查对多核动态热管理进行比较分析
作者:
Syed Ali Asadullah Bukhari
;
Faiq Khalid Lodhi
;
Osman Hasan
;
Muhammad Shafique
;
Jörg Henkel
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Thermal stability;
Power system stability;
Stability criteria;
Model checking;
Analytical models;
Thermal analysis;
14.
Power pre-characterized meshing algorithm for finite element thermal analysis of integrated circuits
机译:
功率有限特征网格划分算法,用于集成电路的有限元热分析
作者:
Shohdy Abdelkader
;
Alaa ELRouby
;
Mohamed Dessouky
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Algorithm design and analysis;
Layout;
Density measurement;
Power system measurements;
Thermal analysis;
Transistors;
Integrated circuits;
15.
An optimal approach for low-power migraine prediction models in the state-of-the-art wireless monitoring devices
机译:
最新的无线监控设备中低功耗偏头痛预测模型的最佳方法
作者:
Josue Pagán
;
Ramin Fallahzadeh
;
Hassan Ghasemzadeh
;
José M. Moya
;
José L. Risco-Martín
;
José L. Ayala
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Sensors;
Predictive models;
Energy consumption;
Optimization;
Microcontrollers;
Monitoring;
Clocks;
16.
Logic optimization and synthesis: Trends and directions in industry
机译:
逻辑优化和综合:行业趋势和方向
作者:
Luca Amarú
;
Patrick Vuillod
;
Jiong Luo
;
Janet Olson
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Databases;
Logic gates;
Optimization;
Delays;
Wires;
Libraries;
17.
Wave pipelining for majority-based beyond-CMOS technologies
机译:
基于多数人的超越CMOS技术的波流水线
作者:
O. Zografos
;
A. De Meester
;
E. Testa
;
M. Soeken
;
P.-E. Gaillardon
;
G. De Micheli
;
L. Amarù
;
P. Raghavan
;
F. Catthoor
;
R. Lauwereins
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Pipeline processing;
Logic gates;
Delays;
Quantum dots;
Inverters;
Automata;
CMOS technology;
18.
Design automation for quantum architectures
机译:
量子架构的设计自动化
作者:
Martin Roetteler
;
Krysta M. Svore
;
Dave Wecker
;
Nathan Wiebe
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Quantum computing;
Logic gates;
Computer architecture;
Computers;
Libraries;
Software algorithms;
Hardware;
19.
Side-channel plaintext-recovery attacks on leakage-resilient encryption
机译:
泄漏弹性加密的侧信道明文恢复攻击
作者:
Thomas Unterluggauer
;
Mario Werner
;
Stefan Mangard
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Encryption;
Ciphers;
Side-channel attacks;
Power demand;
Microcontrollers;
Resists;
20.
Static power side-channel analysis of a threshold implementation prototype chip
机译:
阈值实现原型芯片的静态功率侧信道分析
作者:
Thorben Moos
;
Amir Moradi
;
Bastian Richter
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Temperature measurement;
Power demand;
Power measurement;
Ciphers;
Prototypes;
Voltage measurement;
CMOS technology;
21.
Sampling-based binary-level cross-platform performance estimation
机译:
基于采样的二进制级跨平台性能估计
作者:
Xinnian Zheng
;
Haris Vikalo
;
Shuang Song
;
Lizy K. John
;
Andreas Gerstlauer
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Training;
Instruments;
Hardware;
Couplings;
Heuristic algorithms;
Predictive models;
Estimation;
22.
A layered formal framework for modeling of cyber-physical systems
机译:
网络物理系统建模的分层正式框架
作者:
George Ungureanu
;
Ingo Sander
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Atomic layer deposition;
Semantics;
Analytical models;
Cyber-physical systems;
Synchronization;
Computational modeling;
Skeleton;
23.
Efficient synchronization methods for LET-based applications on a Multi-Processor System on Chip
机译:
在片上多处理器系统上基于LET的应用程序的高效同步方法
作者:
Gabriela Breaban
;
Sander Stuijk
;
Kees Goossens
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Synchronization;
Semantics;
Sensors;
Actuators;
Clocks;
Computational modeling;
24.
Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks
机译:
电网网络中多段互连的基于物理的电迁移建模和评估
作者:
Xiaoyi Wang
;
Hongyu Wang
;
Jian He
;
Sheldon X.-D. Tan
;
Yici Cai
;
Shengqi Yang
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Stress;
Wires;
Power grids;
Transient analysis;
Metals;
Mathematical model;
Current density;
25.
A fast leakage aware thermal simulator for 3D chips
机译:
快速泄漏感知热仿真器,用于3D芯片
作者:
Hameedah Sultan
;
Smruti R. Sarangi
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Green's function methods;
Mathematical model;
Transforms;
Three-dimensional displays;
Temperature dependence;
Heating systems;
Temperature distribution;
26.
Blind identification of power sources in processors
机译:
盲目识别处理器中的电源
作者:
Sherief Reda
;
Adel Belouchrani
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Thermal sensors;
Power measurement;
Power demand;
Program processors;
Temperature measurement;
Runtime;
27.
Fast low power rule checking for multiple power domain design
机译:
用于多个电源域设计的快速低功耗规则检查
作者:
Chien-Pang Lu
;
Iris Hui-Ru Jiang
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Decision support systems;
Automation;
Europe;
Topology;
IEEE Standards;
Handheld computers;
Iterative methods;
28.
Benefits of asynchronous control for analog electronics: Multiphase buck case study
机译:
异步控制对模拟电子产品的好处:多相降压案例研究
作者:
Danil Sokolov
;
Vladimir Dubikhin
;
Victor Khomenko
;
David Lloyd
;
Andrey Mokhov
;
Alex Yakovlev
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
MOSFET;
Timing;
Libraries;
Switches;
Reliability;
Power demand;
29.
High-density MOM capacitor array with novel mortise-tenon structure for low-power SAR ADC
机译:
用于低功耗SAR ADC的具有新型榫眼结构的高密度MOM电容器阵列
作者:
Nai-Chen Chen
;
Pang-Yen Chou
;
Helmut Graeb
;
Mark Po-Hung Lin
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Capacitors;
Routing;
Method of moments;
Parasitic capacitance;
Wires;
Layout;
30.
Adaptive interference rejection in Human Body Communication using variable duty cycle integrating DDR receiver
机译:
使用可变占空比集成DDR接收器的人体通信中的自适应干扰抑制
作者:
Shovan Maity
;
Debayan Das
;
Shreyas Sen
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Interference;
Receivers;
Clocks;
Frequency modulation;
Wireless communication;
Body area networks;
Pulse width modulation;
31.
Formal specification and dependability analysis of optical communication networks
机译:
光通信网络的正式规范和可靠性分析
作者:
Umair Siddique
;
Khaza Anuarul Hoque
;
Taylor T. Johnson
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Optical fiber networks;
Markov processes;
Model checking;
Topology;
Integrated optics;
Probabilistic logic;
32.
An evolutionary approach to runtime variability mapping and mitigation on a multi-reconfigurable architecture
机译:
一种在多可重配置架构上的运行时可变性映射和缓解的进化方法
作者:
Simon J. Bale
;
Pedro B. Campos
;
Martin A. Trefzer
;
James A. Walker
;
Andy M. Tyrrell
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Transistors;
Ring oscillators;
Logic gates;
Frequency measurement;
Performance evaluation;
Routing;
33.
Towards low power approximate DCT architecture for HEVC standard
机译:
迈向HEVC标准的低功耗近似DCT架构
作者:
Zdenek Vasicek
;
Vojtech Mrazek
;
Lukas Sekanina Brno
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Discrete cosine transforms;
Adders;
Approximation methods;
Standards;
Optimization;
Hardware;
Complexity theory;
34.
Semantic driven hierarchical learning for energy-efficient image classification
机译:
语义驱动的分层学习以实现节能图像分类
作者:
Priyadarshini Panda
;
Kaushik Roy
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Training;
Support vector machines;
Visualization;
Semantics;
Complexity theory;
Computational modeling;
Partitioning algorithms;
35.
Machine learning for run-time energy optimisation in many-core systems
机译:
机器学习用于多核系统中的运行时能源优化
作者:
Dwaipayan Biswas
;
Vibishna Balagopal
;
Rishad Shafik
;
Bashir M. Al-Hashimi
;
Geoff V. Merrett
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Hardware;
Prediction algorithms;
Minimization;
Software algorithms;
Embedded systems;
Optimization;
Heuristic algorithms;
36.
An evolutionary approach to hardware encryption and Trojan-horse mitigation
机译:
硬件加密和特洛伊木马缓解的演进方法
作者:
Andrea Marcelli
;
Marco Restifo
;
Ernesto Sanchez
;
Giovanni Squillero
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Encryption;
Logic gates;
Integrated circuits;
Evolutionary computation;
Hardware;
37.
Formal model for system-level power management design
机译:
系统级电源管理设计的正式模型
作者:
Mirela Simonović
;
Vojin Živojnović
;
Lazar Saranovac
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Hardware;
Software;
Control systems;
Encapsulation;
Clocks;
Complexity theory;
Input variables;
38.
Extending memory capacity of neural associative memory based on recursive synaptic bit reuse
机译:
基于递归突触位重用的神经联想记忆扩展记忆容量
作者:
Tianchan Guan
;
Xiaoyang Zeng
;
Mingoo Seok
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Memory management;
Neurons;
Hardware;
Semiconductor device modeling;
Computational modeling;
Correlation;
Associative memory;
39.
Anomalies in scheduling control applications and design complexity
机译:
调度控制应用程序异常和设计复杂性
作者:
Amir Aminifar
;
Enrico Bini
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Jitter;
Complexity theory;
Design methodology;
Stability analysis;
Time factors;
Algorithm design and analysis;
Delays;
40.
Contract-based integration of automotive control software
机译:
基于合同的汽车控制软件集成
作者:
Tobias Sehnke
;
Matthias Schultalbers
;
Rolf Ernst
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Delays;
Software;
Ports (Computers);
Automotive engineering;
Contracts;
Actuators;
41.
Exploiting computation skip to reduce energy consumption by approximate computing, an HEVC encoder case study
机译:
HEVC编码器案例研究,利用计算跳过来降低能耗,通过近似计算
作者:
Alexandre Mercat
;
Justine Bonnot
;
Maxime Pelcat
;
Wassim Hamidouche
;
Daniel Menard
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Approximation algorithms;
Signal processing algorithms;
Approximate computing;
Space exploration;
Prediction algorithms;
Energy consumption;
Complexity theory;
42.
Location detection for navigation using IMUs with a map through coarse-grained machine learning
机译:
通过带有粗粒度机器学习的IMU和地图的导航位置检测
作者:
E. J. Jose Gonzalez
;
Chen Luo
;
Anshumali Shrivastava
;
Krishna Palem
;
Yongshik Moon
;
Soonhyun Noh
;
Daedong Park
;
Seongsoo Hong
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Estimation;
Global Positioning System;
Gyroscopes;
Sensors;
Noise measurement;
Accelerometers;
43.
Performance impacts and limitations of hardware memory access trace collection
机译:
性能影响和硬件内存访问跟踪收集的限制
作者:
Nicholas C. Doyle
;
Eric Matthews
;
Graham Holland
;
Alexandra Fedorova
;
Lesley Shannon
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Hardware;
Computer architecture;
Data collection;
Benchmark testing;
Software;
Field programmable gate arrays;
Monitoring;
44.
Context-sensitive timing automata for fast source level simulation
机译:
上下文相关定时自动机,用于快速源级仿真
作者:
Sebastian Ottlik
;
Christoph Gerum
;
Alexander Viehl
;
Wolfgang Rosenstiel
;
Oliver Bringmann
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Context;
Timing;
Context modeling;
Automata;
Software;
Acceleration;
Binary codes;
45.
MARS: A flexible real-time streaming platform for testing automation systems
机译:
MARS:用于测试自动化系统的灵活实时流平台
作者:
Raphael Eidenbenz
;
Alexandra Moga
;
Thanikesavan Sivanthi
;
Carsten Franke
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Real-time systems;
Testing;
Monitoring;
Automation;
Runtime;
Analytical models;
Data models;
46.
SERD: A simulation framework for estimation of system level reliability degradation
机译:
SERD:用于评估系统级可靠性下降的仿真框架
作者:
Saurav Kumar Ghosh
;
Soumyajit Dey
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Software reliability;
Degradation;
Control systems;
Software;
Input variables;
Computational modeling;
47.
Magnetic tunnel junction enabled all-spin stochastic spiking neural network
机译:
磁性隧道结使能全自旋随机尖峰神经网络
作者:
Gopalakrishnan Srinivasan
;
Abhronil Sengupta
;
Kaushik Roy
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Magnetic tunneling;
Neurons;
Switches;
Stochastic processes;
Timing;
Spintronics;
Probabilistic logic;
48.
Embedded systems to high performance computing using STT-MRAM
机译:
嵌入式系统使用STT-MRAM实现高性能计算
作者:
Sophiane Senni
;
Thibaud Delobelle
;
Odilia Coi
;
Pierre-Yves Peneau
;
Lionel Torres
;
Abdoulaye Gamatie
;
Pascal Benoit
;
Gilles Sassatelli
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Magnetic tunneling;
Registers;
Nonvolatile memory;
CMOS technology;
Memory management;
Embedded systems;
Power demand;
49.
Voltage-controlled MRAM for working memory: Perspectives and challenges
机译:
用于工作存储器的电压控制MRAM:前景与挑战
作者:
Wang Kang
;
Liang Chang
;
Youguang Zhang
;
Weisheng Zhao
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Magnetic tunneling;
Magnetization;
Switches;
Semiconductor device modeling;
Nonvolatile memory;
Energy barrier;
Mathematical model;
50.
Three-terminal MTJ-based nonvolatile logic circuits with self-terminated writing mechanism for ultra-low-power VLSI processor
机译:
具有自终止写入机制的三端基于MTJ的非易失性逻辑电路,用于超低功耗VLSI处理器
作者:
Takahiro Hanyu
;
Daisuke Suzuki
;
Naoya Onizawa
;
Masanori Natsui
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Nonvolatile memory;
Magnetic tunneling;
Very large scale integration;
Flip-flops;
Random access memory;
Logic circuits;
51.
Efficient storage management for aged file systems on persistent memory
机译:
永久存储器上的旧文件系统的有效存储管理
作者:
Kaisheng Zeng
;
Youyou Lu
;
Hu Wan
;
Jiwu Shu
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Resource management;
Aging;
Memory management;
Storage management;
Random access memory;
Degradation;
Indexes;
52.
LookNN: Neural network with no multiplication
机译:
LookNN:无乘法的神经网络
作者:
Mohammad Samragh Razlighi
;
Mohsen Imani
;
Farinaz Koushanfar
;
Tajana Rosing
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Artificial neural networks;
Table lookup;
Associative memory;
Neurons;
Additives;
Graphics processing units;
Computational modeling;
53.
Pegasus: Efficient data transfers for PGAS languages on non-cache-coherent many-cores
机译:
Pegasus:在非缓存一致的多核上针对PGAS语言的高效数据传输
作者:
Manuel Mohr
;
Carsten Tradowsky
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Cloning;
Data structures;
Coherence;
Electronics packaging;
Memory management;
Message passing;
54.
Digital-microfluidic biochips for quantitative analysis: Bridging the Gap between microfluidics and microbiology
机译:
用于定量分析的数字微流控生物芯片:弥合微流控与微生物学之间的鸿沟
作者:
Mohamed Ibrahim
;
Krishnendu Chakrabarty
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Protocols;
Heating systems;
Biological system modeling;
Automation;
Real-time systems;
Decision making;
System-on-chip;
55.
The case for semi-automated design of microfluidic very large scale integration (mVLSI) chips
机译:
微流控超大规模集成(mVLSI)芯片的半自动化设计案例
作者:
Jeffrey McDaniel
;
William H. Grover
;
Philip Brisk
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Software;
Algorithm design and analysis;
Pain;
Routing;
Fabrication;
Microvalves;
Automation;
56.
Synthesis of on-chip control circuits for mVLSI biochips
机译:
mVLSI生物芯片的片上控制电路的综合
作者:
Seetal Potluri
;
Alexander Schneider
;
Martin H⊘rslev-Petersen
;
Paul Pop
;
Jan Madsen
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
System-on-chip;
Valves;
Physical design;
Routing;
Resistors;
Logic gates;
Biomembranes;
57.
Scheduling and optimization of genetic logic circuits on flow-based microfluidic biochips
机译:
基于流的微流生物芯片上遗传逻辑电路的调度和优化
作者:
Yu-Jhih Chen
;
Sumit Sharma
;
Sudip Roy
;
Tsung-Yi Ho
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Logic gates;
Genetics;
Logic circuits;
Schedules;
Layout;
Routing;
Synthetic biology;
58.
Side-channel power analysis of XTS-AES
机译:
XTS-AES的边信道功率分析
作者:
Chao Luo
;
Yunsi Fei
;
A. Adam Ding
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Encryption;
Hamming weight;
Hamming distance;
Analytical models;
Ciphers;
59.
A field programmable transistor array featuring single-cycle partial/full dynamic reconfiguration
机译:
具有单周期部分/全部动态重新配置的现场可编程晶体管阵列
作者:
Jingxiang Tian
;
Gaurav Rajavendra Reddy
;
Jiajia Wang
;
William Swartz
;
Yiorgos Makris
;
Carl Sechen
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Transistors;
Switches;
Programming;
Metals;
Logic gates;
Field programmable gate arrays;
Switching circuits;
60.
A power gating switch box architecture in routing network of SRAM-based FPGAs in dark silicon era
机译:
黑暗硅时代基于SRAM的FPGA路由网络中的电源门控开关盒架构
作者:
Zeinab Seifoori
;
Behnam Khaleghi
;
Hossein Asadi
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Multiplexing;
Power demand;
Field programmable gate arrays;
Topology;
Routing;
Computer architecture;
Switches;
61.
A static-placement, dynamic-issue framework for CGRA loop accelerator
机译:
用于CGRA循环加速器的静态放置,动态问题框架
作者:
Zhongyuan Zhao
;
Weiguang Sheng
;
Weifeng He
;
ZhiGang Mao
;
Zhaoshi Li
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Registers;
Routing;
Hardware;
Arrays;
Schedules;
Kernel;
62.
Machine learning enabled power-aware Network-on-Chip design
机译:
支持机器学习的功耗感知片上网络设计
作者:
Dominic DiTomaso
;
Ashif Sikder
;
Avinash Kodi
;
Ahmed Louri
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Power demand;
Logic gates;
Transistors;
Machine learning algorithms;
Bandwidth;
Threshold voltage;
Switches;
63.
Performance evaluation and design trade-offs for wireless-enabled SMART NoC
机译:
启用无线功能的SMART NoC的性能评估和设计折衷
作者:
Karthi Duraisamy
;
Partha Pratim Pande
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Clocks;
Wireless communication;
Wires;
Data transfer;
Two dimensional displays;
System-on-chip;
Ports (Computers);
64.
Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise
机译:
基于TSV的强大3D NoC设计可抵消电迁移和串扰噪声
作者:
Sourav Das
;
Janardhan Rao Doppa
;
Partha Pratim Pande
;
Krishnendu Chakrabarty
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Through-silicon vias;
Three-dimensional displays;
Crosstalk;
Stress;
Resource management;
Reliability;
Delays;
65.
Mixed-criticality processing pipelines
机译:
混合关键性处理管道
作者:
Dionisio de Niz
;
Bjorn Andersson
;
Hyoseung Kim
;
Mark Klein
;
Linh Thi Xuan Phan
;
Raj Rajkumar
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Pipelines;
Time factors;
Processor scheduling;
Job shop scheduling;
Interference;
Large Hadron Collider;
Runtime;
66.
Performance and energy aware wavelength allocation on ring-based WDM 3D optical NoC
机译:
基于环的WDM 3D光学NoC的性能和能量感知波长分配
作者:
J. Luo
;
A. Elantably
;
V. D. Pham
;
C. Killian
;
D. Chillet
;
S. Le Beux
;
O. Sentieys
;
I. OConnor
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Optical waveguides;
Crosstalk;
Optical crosstalk;
Optical receivers;
Wavelength division multiplexing;
Optical noise;
Optical transmitters;
67.
Exploiting special-purpose function approximation for hardware-efficient QR-decomposition
机译:
利用专用函数逼近实现硬件高效的QR分解
作者:
Jochen Rust
;
Steffen Paul
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Function approximation;
Signal processing;
Hardware;
Matrix decomposition;
Density functional theory;
Wireless communication;
Computer architecture;
68.
Reliability assessment of fault tolerant routing algorithms in networks-on-chip: An analytic approach
机译:
片上网络中容错路由算法的可靠性评估:一种分析方法
作者:
Sadia Moriam
;
Gerhard P. Fettweis
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Routing;
Adaptation models;
Analytical models;
System recovery;
Fault tolerance;
Fault tolerant systems;
69.
Online monitoring and adaptive routing for aging mitigation in NoCs
机译:
在线监控和自适应路由以缓解NoC中的老化
作者:
Zana Ghaderi
;
Ayed Alqahtani
;
Nader Bagherzadeh
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Aging;
Cats;
Routing;
Stress;
Monitoring;
Degradation;
Transistors;
70.
eBSP: Managing NoC traffic for BSP workloads on the 16-core Adapteva Epiphany-III processor
机译:
eBSP:在16核Adapteva Epiphany-III处理器上管理BSP工作负载的NoC流量
作者:
Siddhartha
;
Nachiket Kapre
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Libraries;
Optimization;
Field programmable gate arrays;
Acceleration;
Sparse matrices;
Graphics processing units;
71.
On the limits of machine learning-based test: A calibrated mixed-signal system case study
机译:
基于机器学习的测试的局限性:校准的混合信号系统案例研究
作者:
Manuel J. Barragan
;
G. Leger
;
A. Gines
;
E. Peralias
;
A. Rueda
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Calibration;
Machine learning algorithms;
Pipelines;
Performance evaluation;
Table lookup;
Production;
Training;
72.
An extension of Cohn's sensitivity theorem to mismatch analysis of 1-port resistor networks
机译:
将Cohn灵敏度定理扩展到1端口电阻器网络失配分析
作者:
Sebastien Cliquennois
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Resistors;
Resistance;
Mathematical model;
Sensitivity;
Calculus;
Voltage measurement;
Algorithm design and analysis;
73.
Testing microfluidic Fully Programmable Valve Arrays (FPVAs)
机译:
测试微流体完全可编程阀阵列(FPVA)
作者:
Chunfeng Liu
;
Bing Li
;
Bhargab B. Bhattacharya
;
Krishnendu Chakrabarty
;
Tsung-Yi Ho
;
Ulf Schlichtmann
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Valves;
Circuit faults;
Mixers;
Testing;
Meters;
Transportation;
74.
HARPA: Tackling physically induced performance variability
机译:
HARPA:解决物理诱发的性能差异
作者:
Nikolaos Zompakis
;
Michail Noltsis
;
Lorena Ndreu
;
Zacharias Hadjilambrou
;
Panagiotis Englezakis
;
Panagiota Nikolaou
;
Antoni Portero
;
Simone Libutti
;
Giuseppe Massari
;
Federico Sassi
;
Alessandro Bacchini
;
Chrysostomos Nicopoulos
;
Yiannakis Sazeides
;
Radim Vavrik
;
Martin Golasowski
;
Jiri Sevcik
;
Vit Vondrak
;
Francky Catthoor
;
William Fornaciari
;
Dimitrios Soudris
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Hardware;
Monitoring;
Temperature measurement;
Temperature sensors;
Europe;
Reliability;
Engines;
75.
Dynamic software randomisation: Lessons learnec from an aerospace case study
机译:
动态软件随机化:从航空航天案例研究中学到的经验教训
作者:
Fabrice Cros
;
Leonidas Kosmidis
;
Franck Wartel
;
David Morales
;
Jaume Abella
;
Ian Broster
;
Francisco J. Cazorla
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Software;
Timing;
Hardware;
Aerospace electronics;
Probabilistic logic;
Runtime;
Jitter;
76.
READEX: Linking two ends of the computing continuum to improve energy-efficiency in dynamic applications
机译:
READEX:链接计算连续体的两端以提高动态应用程序中的能源效率
作者:
Per Gunnar Kjeldsberg
;
Andreas Gocht
;
Michael Gerndt
;
Lubomir Riha
;
Joseph Schuchart
;
Umbreen Sabir Mian
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Tuning;
Embedded systems;
Runtime;
Programming;
Optimization;
Europe;
Energy consumption;
77.
BASTION: Board and SoC test instrumentation for ageing and no failure found
机译:
警告:电路板和SoC测试仪器已老化,未发现故障
作者:
Artur Jutman
;
Christophe Lotz
;
Erik Larsson
;
Matteo Sonza Reorda
;
Maksim Jenihhin
;
Jaan Raik
;
Hans Kerkhoff
;
Rene Krenz-Baath
;
Piet Engelke
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Instruments;
Circuit faults;
Aging;
Monitoring;
Measurement;
Network topology;
Electronic mail;
78.
Mapping granularity adaptive FTL based on flash page re-programming
机译:
基于Flash页面重新编程的映射粒度自适应FTL
作者:
Yazhi Feng
;
Dan Feng
;
Chenye Yu
;
Wei Tong
;
Jingning Liu
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Resource management;
Merging;
Random access memory;
Computer architecture;
Programming;
Microprocessors;
Data transfer;
79.
Data flow testing for virtual prototypes
机译:
虚拟原型的数据流测试
作者:
Muhammad Hassan
;
Vladimir Herdt
;
Hoang M. Le
;
Mingsong Chen
;
Daniel Große
;
Rolf Drechsler
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Testing;
Instruction sets;
Discrete Fourier transforms;
Scalability;
Computer bugs;
Instruments;
Prototypes;
80.
MINIME-validator: Validating hardware with synthetic parallel testcases
机译:
MINIME-validator:使用综合并行测试用例验证硬件
作者:
Alper Sen
;
Etem Deniz
;
Brian Kahne
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Message systems;
Multicore processing;
Pipelines;
Instruction sets;
Hardware;
Parallel programming;
81.
Cost-effective analysis of post-silicon functional coverage events
机译:
硅后功能覆盖事件的经济高效分析
作者:
Farimah Farahmandi
;
Ronny Morad
;
Avi Ziv
;
Ziv Nevo
;
Prabhat Mishra
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Monitoring;
Observability;
Logic gates;
Silicon;
Clocks;
Debugging;
Hardware;
82.
Towards exascale computing with heterogeneous architectures
机译:
迈向异构架构的百亿亿次计算
作者:
Kenneth OBrien
;
Lorenzo Di Tucci
;
Gianluca Durelli
;
Michaela Blott
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Field programmable gate arrays;
Graphics processing units;
Databases;
Computer architecture;
Performance evaluation;
Algorithm design and analysis;
Parallel processing;
83.
From exaflop to exaflow
机译:
从exaflop到exaflow
作者:
Tobias Becker
;
Pavel Burovskiy
;
Anna Maria Nestorov
;
Hristina Palikareva
;
Enrico Reggiani
;
Georgi Gaydadjiev
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Kernel;
Optimization;
Computational modeling;
Programming;
Program processors;
Field programmable gate arrays;
Hardware;
84.
Heterogeneous exascale supercomputing: The role of CAD in the exaFPGA project
机译:
异构百亿亿次超级计算:CAD在exaFPGA项目中的作用
作者:
M. Rabozzi
;
G. Natale
;
E. Del Sozzo
;
A. Scolari
;
L. Stornaiuolo
;
M. D. Santambrogio
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Field programmable gate arrays;
Optimization;
Hardware;
Tools;
Runtime;
Complexity theory;
Acceleration;
85.
An open reconfigurable research platform as stepping stone to exascale high-performance computing
机译:
开放的可重构研究平台,是百亿亿次高性能计算的垫脚石
作者:
Dirk Stroobandt
;
Cätälin Bogdan Ciobanu
;
Marco D. Santambrogio
;
Gabriel Figueiredo
;
Andreas Brokalakis
;
Dionisios Pnevmatikatos
;
Michael Huebner
;
Tobias Becker
;
Alex J. W. Thom
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Tools;
Computer architecture;
Field programmable gate arrays;
Hardware;
Acceleration;
Pricing;
Architecture;
86.
Fast and waveform-accurate hazard-aware SAT-based TSOF ATPG
机译:
基于SAT的快速且波形准确的危害感知TSOF ATPG
作者:
Jan Burchard
;
Dominik Erb
;
Adit D. Singh
;
Sudhakar M. Reddy
;
Bernd Becker
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Logic gates;
Circuit faults;
Libraries;
Hazards;
Transistors;
Delays;
87.
Fault diagnosis of arbiter physical unclonable function
机译:
仲裁器物理不可克隆功能的故障诊断
作者:
Jing Ye
;
Qingli Quo
;
Yu Hu
;
Xiaowei Li
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Fault diagnosis;
Delays;
Circuit faults;
Security;
Clocks;
Hardware;
Flip-flops;
88.
GPIOCP: Timing-accurate general purpose I/O controller for many-core real-time systems
机译:
GPIOCP:用于多核实时系统的定时精确的通用I / O控制器
作者:
Zhe Jiang
;
Neil C. Audsley
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Pins;
Synchronization;
Hardware;
Random access memory;
Registers;
Clocks;
89.
An algorithm to find optimum support-reducing decompositions for index generation functions
机译:
查找索引生成函数的最优减少支持分解的算法
作者:
Tsutomu Sasao
;
Kyu Matsuura
;
Yukihiro Iguchi
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Indexes;
Rails;
Table lookup;
Input variables;
Memory management;
Logic functions;
Large scale integration;
90.
Taking one-to-one mappings for granted: Advanced logic design of encoder circuits
机译:
一对一映射是理所当然的:编码器电路的高级逻辑设计
作者:
Alwin Zulehner
;
Robert Wille
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Logic gates;
Hamming weight;
Encoding;
Quantum computing;
Complexity theory;
Integrated circuit modeling;
91.
Analysis of short-circuit conditions in logic circuits
机译:
逻辑电路中的短路条件分析
作者:
João Afonso
;
José Monteiro
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Logic gates;
Logic circuits;
Integrated circuit modeling;
Transistors;
Power supplies;
Tools;
92.
Busy man's synthesis: Combinational delay optimization with SAT
机译:
忙人综合:SAT的组合时延优化
作者:
Mathias Soeken
;
Giovanni De Micheli
;
Alan Mishchenko
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Logic gates;
Delays;
Databases;
Optimization;
Boolean functions;
Table lookup;
Heuristic algorithms;
93.
The engineering challenges in quantum computing
机译:
量子计算中的工程挑战
作者:
C. G. Almudever
;
L. Lao
;
X. Fu
;
N. Khammassi
;
I. Ashraf
;
D. Iorga
;
S. Varsamopoulos
;
C. Eichler
;
A. Wallraff
;
L. Geck
;
A. Kruth
;
J. Knoch
;
H. Bluhm
;
K Bertels
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Quantum computing;
Logic gates;
Computers;
Buildings;
Error analysis;
Quantum entanglement;
Error correction;
94.
MVP ECC : Manufacturing process variation aware unequal protection ECC for memory reliability
机译:
MVP ECC:制造过程变化感知的不平等保护ECC,可提高内存可靠性
作者:
Seungyeob Lee
;
Joon-Sung Yang
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Error correction codes;
Computer architecture;
Microprocessors;
Block codes;
Reliability;
Decoding;
Manufacturing;
95.
Analyzing the effects of peripheral circuit aging of embedded SRAM architectures
机译:
分析嵌入式SRAM架构的外围电路老化的影响
作者:
Josef Kinseher
;
Leonhard Heiß
;
Ilia Polian
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Random access memory;
Degradation;
Aging;
Transistors;
Logic gates;
Stress;
Libraries;
96.
Mitigation of sense amplifier degradation using input switching
机译:
使用输入开关减轻感测放大器的退化
作者:
Daniel Kraak
;
Innocent Agbo
;
Mottaqiallah Taouil
;
Said Hamdioui
;
Pieter Weckx
;
Stefan Cosemans
;
Francky Catthoor
;
Wim Dehaene
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Aging;
Delays;
Switches;
Transistors;
Standards;
Sensors;
Stress;
97.
Scalable probabilistic power budgeting for many-cores
机译:
可扩展的多核概率功率预算
作者:
Anuj Pathania
;
Heba Khdr
;
Muhammad Shafique
;
Tulika Mitra
;
Jörg Henkel
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Probabilistic logic;
Power demand;
Silicon;
Mathematical model;
Program processors;
Measurement;
Scalability;
98.
Utilization difference based partitioned scheduling of mixed-criticality systems
机译:
基于利用率差异的混合临界系统分区调度
作者:
Saravanan Ramanathan
;
Arvind Easwaran
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Program processors;
Partitioning algorithms;
Job shop scheduling;
Scheduling algorithms;
Resource management;
Heuristic algorithms;
99.
Schedulability using native non-preemptive groups on an AUTOSAR/OSEK platform with caches
机译:
在具有缓存的AUTOSAR / OSEK平台上使用本机非抢占组的可调度性
作者:
Leo Hatvani
;
Reinder J. Bril
;
Sebastian Altmeyer
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Job shop scheduling;
Time factors;
Standards;
Delays;
Real-time systems;
Schedules;
Operating systems;
100.
Structural design optimization for deep convolutional neural networks using stochastic computing
机译:
基于随机计算的深度卷积神经网络的结构设计优化
作者:
Zhe Li
;
Ao Ren
;
Ji Li
;
Qinru Qiu
;
Bo Yuan
;
Jeffrey Draper
;
Yanzhi Wang
会议名称:
《Design, Automation Test in Europe Conference Exhibition》
|
2017年
关键词:
Hardware;
Neurons;
Feature extraction;
Optimization;
Stochastic processes;
Biological neural networks;
意见反馈
回到顶部
回到首页