掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012
召开年:
2012
召开地:
Dresden(DE)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A cycle-approximate, mixed-ISA simulator for the KAHRISMA architecture
机译:
适用于KAHRISMA体系结构的近似周期的混合ISA仿真器
作者:
Stripf T.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
2.
Enabling dynamic assertion-based verification of embedded software through model-driven design
机译:
通过模型驱动的设计实现对嵌入式软件的基于动态声明的验证
作者:
Di Guglielmo G.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
3.
UWB: Innovative architectures enable disruptive low power wireless applications
机译:
UWB:创新的架构支持颠覆性的低功耗无线应用
作者:
Morche D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
4.
On ESL verification of memory consistency for system-on-chip multiprocessing
机译:
关于ESL验证片上系统多处理的内存一致性
作者:
Rambo E.A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
5.
Verifying timing synchronization constraints in distributed embedded architectures
机译:
验证分布式嵌入式体系结构中的时序同步约束
作者:
Rajeev A.C.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
6.
Eliminating invariants in UML/OCL models
机译:
消除UML / OCL模型中的不变量
作者:
Soeken M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
7.
Generating instruction streams using abstract CSP
机译:
使用抽象CSP生成指令流
作者:
Katz Y.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
8.
EDAA / ACM SIGDA PhD forum at DATE 2012 in Dresden
机译:
EDAA / ACM SIGDA博士论坛在德累斯顿DATE 2012
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
9.
ITRS 2011 Analog EDA Challenges and Approaches
机译:
ITRS 2011模拟EDA挑战和方法
作者:
Graeb H.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
10.
Automated generation of directed tests for transition coverage in cache coherence protocols
机译:
自动生成针对缓存一致性协议中过渡覆盖范围的定向测试
作者:
Xiaoke Qin
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
11.
Exploring pausible clocking based GALS design for 40-nm system integration
机译:
探索基于可行时钟的GALS设计,以实现40 nm系统集成
作者:
Xin Fan
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
12.
Static analysis of asynchronous clock domain crossings
机译:
异步时钟域穿越的静态分析
作者:
Chaturvedi S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
13.
3DHLS: Incorporating high-level synthesis in physical planning of three-dimensional (3D) ICs
机译:
3DHLS:将高级综合功能集成到三维(3D)IC的物理规划中
作者:
Yibo Chen
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
14.
A hybrid HW-SW approach for intermittent error mitigation in streaming-based embedded systems
机译:
混合HW-SW方法可减少基于流的嵌入式系统中的间歇错误
作者:
Sabry M.M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
15.
CrashTest'ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions
机译:
CrashTesting SWAT:基于症状的弹性解决方案的准确,门级评估
作者:
Pellegrini A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
16.
Hybrid source-level simulation of data caches using abstract cache models
机译:
使用抽象缓存模型进行数据缓存的混合源级别仿真
作者:
Stattelmann S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
17.
Verification coverage of embedded multicore applications
机译:
嵌入式多核应用程序的验证范围
作者:
Deniz E.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
18.
Pathways to servers of the future
机译:
未来服务器的途径
作者:
Fettweis G.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
19.
Testing RF circuits with true non-intrusive built-in sensors
机译:
使用真正的非侵入式内置传感器测试RF电路
作者:
Abdallah L.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
20.
Hazard driven test generation for SMT processors
机译:
SMT处理器的危害驱动测试生成
作者:
Singh P.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
21.
Debugging of inconsistent UML/OCL models
机译:
不一致的UML / OCL模型的调试
作者:
Wille R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
22.
State-of-the-art tools and techniques for quantitative modeling and analysis of embedded systems
机译:
用于嵌入式系统定量建模和分析的最新工具和技术
作者:
Bozga M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
23.
Moore meets maxwell
机译:
摩尔遇见麦克斯韦
作者:
Camposano R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
24.
Refinement of UML/MARTE models for the design of networked embedded systems
机译:
完善用于网络嵌入式系统设计的UML / MARTE模型
作者:
Ebeid E.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
25.
Automated construction of a cycle-approximate transaction level model of a memory controller
机译:
自动构建内存控制器的近似周期事务级别模型
作者:
Todorov V.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
26.
SPDF: A schedulable parametric data-flow MoC
机译:
SPDF:可调度的参数数据流MoC
作者:
Fradet P.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
27.
Extending the lifetime of NAND flash memory by salvaging bad blocks
机译:
通过挽救坏块来延长NAND闪存的寿命
作者:
Chundong Wang
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
28.
CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks
机译:
片上网络基于CATRA拥塞的梯形路由算法
作者:
Ebrahimi M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
29.
Monitoring active filters under automotive aging scenarios with embedded instrument
机译:
使用嵌入式仪器监控汽车老化情况下的有源滤波器
作者:
Jinbo Wan
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
30.
Online scheduling for multi-core shared reconfigurable fabric
机译:
多核共享可重构结构的在线调度
作者:
Liang Chen
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
31.
SCFIT: A FPGA-based fault injection technique for SEU fault model
机译:
SCFIT:用于SEU故障模型的基于FPGA的故障注入技术
作者:
Mohammadi A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
32.
A cyberphysical synthesis approach for error recovery in digital microfluidic biochips
机译:
用于数字微流控生物芯片中错误恢复的网络物理综合方法
作者:
Yan Luo
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
33.
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems
机译:
用于异构可重配置多核系统的虚拟化片上分布式计算
作者:
Werner S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
34.
A high-performance dense block matching solution for automotive 6D-vision
机译:
面向汽车6D视觉的高性能密集块匹配解决方案
作者:
Sahlbach H.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
35.
Time analysable synchronisation techniques for parallelised hard real-time applications
机译:
用于并行的硬实时应用的时间可分析同步技术
作者:
Gerdes M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
36.
Towards accurate hardware stereo correspondence: A real-time FPGA implementation of a segmentation-based adaptive support weight algorithm
机译:
迈向准确的硬件立体声对应:基于分段的自适应支持权重算法的实时FPGA实现
作者:
Ttofis C.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
37.
Mach-Zehnder interferometer based design of all optical reversible binary adder
机译:
基于马赫曾德尔干涉仪的全光可逆二进制加法器设计
作者:
Kotiyal S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
38.
A Network-on-Chip-based turbo/LDPC decoder architecture
机译:
基于片上网络的turbo / LDPC解码器体系结构
作者:
Condo C.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
39.
AIR (Aerial Image Retargeting): A novel technique for in-fab automatic model-based retargeting-for-yield
机译:
AIR(航空影像重定目标):一种基于生产中基于模型的自动基于产量的重定目标的新技术
作者:
Hamouda A.Y.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
40.
Selective flexibility: Breaking the rigidity of datapath merging
机译:
选择性灵活性:打破数据路径合并的刚性
作者:
Stojilovic M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
41.
Bounding WCET of applications using SDRAM with Priority Based Budget Scheduling in MPSoCs
机译:
在MPSoC中将SDRAM与基于优先级的预算计划结合使用的应用程序的边界WCET
作者:
Shah H.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
42.
An instruction scratchpad memory allocation for the precision timed architecture
机译:
精确定时架构的指令暂存器内存分配
作者:
Prakash A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
43.
Compositional system-level design exploration with planning of high-level synthesis
机译:
组成系统级设计探索和高级综合计划
作者:
Hung-Yi Liu
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
44.
Correct-by-construction multi-component SoC design
机译:
按结构校正多组件SoC设计
作者:
Sinha R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
45.
Optimizing performance analysis for synchronous dataflow graphs with shared resources
机译:
使用共享资源优化同步数据流图的性能分析
作者:
Thiele D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
46.
Leveraging reconfigurability to raise productivity in FPGA functional debug
机译:
利用可重新配置性来提高FPGA功能调试的生产率
作者:
Poulos Z.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
47.
Hybrid simulation for extensible processor cores
机译:
可扩展处理器内核的混合仿真
作者:
Jovic J.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
48.
Side channel analysis of the SHA-3 finalists
机译:
SHA-3决赛选手的旁道分析
作者:
Zohner M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
49.
ASIC implementations of five SHA-3 finalists
机译:
五个SHA-3决赛入围者的ASIC实现
作者:
Xu Guo
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
50.
A probabilistic analysis method for functional qualification under Mutation Analysis
机译:
变异分析下功能鉴定的概率分析方法
作者:
Hsiu-Yi Lin
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
51.
Multi-objective aware extraction of task-level parallelism using genetic algorithms
机译:
使用遗传算法的任务级并行性多目标感知提取
作者:
Cordes D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
52.
Layout-aware optimization of stt mrams
机译:
stt内存的布局感知优化
作者:
Gupta S.K.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
53.
Combining module selection and replication for throughput-driven streaming programs
机译:
结合模块选择和复制以实现吞吐量驱动的流程序
作者:
Cong J.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
54.
Minimizing the latency of quantum circuits during mapping to the ion-trap circuit fabric
机译:
最小化映射到离子阱电路结构期间的量子电路等待时间
作者:
Dousti M.J.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
55.
Challenges and new trends in probabilistic timing analysis
机译:
概率时序分析的挑战和新趋势
作者:
Quinton S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
56.
Beyond CMOS - benchmarking for future technologies
机译:
超越CMOS-未来技术的基准测试
作者:
Sotomayor Torres C.M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
57.
Large signal simulation of integrated inductors on semi-conducting substrates
机译:
半导体衬底上集成电感器的大信号模拟
作者:
Schoenmaker W.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
58.
Design of low-complexity digital finite impulse response filters on FPGAs
机译:
FPGA上的低复杂度数字有限脉冲响应滤波器的设计
作者:
Aksoy L.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
59.
Modeling and testing of interference faults in the nano NAND Flash memory
机译:
纳米NAND闪存中干扰故障的建模和测试
作者:
Jin Zha
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
60.
Time-triggered implementations of mixed-criticality automotive software
机译:
混合关键性汽车软件的时间触发实现
作者:
Goswami D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
61.
Timing analysis of cyber-physical applications for hybrid communication protocols
机译:
混合通信协议的网络物理应用时序分析
作者:
Masrur A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
62.
Predictive control of networked control systems over differentiated services lossy networks
机译:
区分服务有损网络上的网络控制系统的预测控制
作者:
Muradore R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
63.
Characterization of the bistable ring PUF
机译:
双稳态环PUF的表征
作者:
Qingqing Chen
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
64.
Component-based and aspect-oriented methodology and tool for Real-Time Embedded Control Systems Design
机译:
实时嵌入式控制系统设计的基于组件和面向方面的方法和工具
作者:
Hamouche R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
65.
A fast, source-synchronous ring-based network-on-chip design
机译:
快速的,基于源同步环的片上网络设计
作者:
Mandal A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
66.
Double-patterning friendly grid-based detailed routing with online conflict resolution
机译:
具有在线冲突解决方案的基于双模式友好网格的详细路由
作者:
Abed I.S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
67.
A flexible and fast software implementation of the FFT on the BPE platform
机译:
在BPE平台上灵活快速地实现FFT的软件实现
作者:
Cupaiuolo T.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
68.
Spintronic memristor based temperature sensor design with CMOS current reference
机译:
基于自旋电子忆阻器的温度传感器设计,带有CMOS电流基准
作者:
Xiuyuan Bi
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
69.
Accurately timed transaction level models for virtual prototyping at high abstraction level
机译:
准确定时的事务级别模型,用于高抽象级别的虚拟原型
作者:
Kun Lu
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
70.
RTL analysis and modifications for improving at-speed test
机译:
RTL分析和修改,以改善高速测试
作者:
Kai-Hui Chang
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
71.
Test generation for clock-domain crossing faults in integrated circuits
机译:
集成电路中时钟域交叉故障的测试生成
作者:
Karimi N.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
72.
Mitigating lifetime underestimation: A system-level approach considering temperature variations and correlations between failure mechanisms
机译:
减轻使用寿命的低估:考虑温度变化和故障机制之间相关性的系统级方法
作者:
Kai-Chiang Wu
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
73.
3D-FlashMap: A physical-location-aware block mapping strategy for 3D NAND flash memory
机译:
3D-FlashMap:3D NAND闪存的物理位置感知块映射策略
作者:
Yi Wang
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
74.
Comparison of Self-Timed Ring and Inverter Ring Oscillators as entropy sources in FPGAs
机译:
比较自定时环形振荡器和逆变器环形振荡器作为FPGA中的熵源
作者:
Cherkaoui A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
75.
A sensor-assisted self-authentication framework for hardware trojan detection
机译:
用于硬件木马检测的传感器辅助自身份验证框架
作者:
Min Li
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
76.
Towards improving simulation of analog circuits using model order reduction
机译:
使用模型阶数减少来改善模拟电路的仿真
作者:
Aridhi H.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
77.
Comparative analysis of SRAM memories used as PUF primitives
机译:
用作PUF原语的SRAM存储器的比较分析
作者:
Schrijen G.-J.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
78.
Intelligent and collaborative embedded computing in automation engineering
机译:
自动化工程中的智能协作式嵌入式计算
作者:
Al Faruque M.A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
79.
AFRA: A low cost high performance reliable routing for 3D mesh NoCs
机译:
AFRA:针对3D网格NoC的低成本,高性能,可靠的路由
作者:
Akbari S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
80.
Enhancing non-linear kernels by an optimized memory hierarchy in a High Level Synthesis flow
机译:
在高级综合流程中通过优化的内存层次结构增强非线性内核
作者:
Mancini S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
81.
Neighbor-aware dynamic thermal management for multi-core platform
机译:
面向邻居的多核平台动态热管理
作者:
Guanglei Liu
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
82.
Quantifying the impact of frequency scaling on the energy efficiency of the single-chip cloud computer
机译:
量化频率缩放对单芯片云计算机能效的影响
作者:
Bartolini A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
83.
RSM: A small and fast countermeasure for AES, secure against 1st and 2nd-order zero-offset SCAs
机译:
RSM:一种针对AES的小型快速对策,可抵御一阶和二阶零偏移SCA
作者:
Nassar M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
84.
Revealing side-channel issues of complex circuits by enhanced leakage models
机译:
通过增强的泄漏模型揭示复杂电路的侧通道问题
作者:
Heuser A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
85.
Automatic design of low-power encoders using reversible circuit synthesis
机译:
利用可逆电路综合自动设计低功耗编码器
作者:
Wille R.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
86.
Exploiting area/delay tradeoffs in high-level synthesis
机译:
在高级综合中利用面积/延迟权衡
作者:
Kondratyev A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
87.
Advances in variation-aware modeling, verification, and testing of analog ICs
机译:
模拟IC的变化感知建模,验证和测试方面的进展
作者:
De Jonghe D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
88.
Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks
机译:
研究反向温度相关性(ITD)对时钟分配网络的影响
作者:
Sassone A.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
89.
Challenges in verifying an integrated 3D design
机译:
验证集成3D设计的挑战
作者:
Yip T.G.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
90.
Robust and flexible mapping for real-time distributed applications during the early design phases
机译:
在早期设计阶段为实时分布式应用程序提供强大而灵活的映射
作者:
Junhe Gan
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
91.
Panel: What is EDA doing for trailing edge technologies?
机译:
小组讨论:EDA对前沿技术做了什么?
作者:
Casale-Rossi M.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
92.
Measuring and improving the robustness of automotive smart power microelectronics
机译:
测量并提高汽车智能电源微电子产品的耐用性
作者:
Nirmaier T.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
93.
Congestion-aware scheduling for NoC-based reconfigurable systems
机译:
基于NoC的可重配置系统的拥塞感知调度
作者:
Hung-Lin Chao
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
94.
Post-deployment trust evaluation in wireless cryptographic ICs
机译:
无线密码IC的部署后信任评估
作者:
Yier Jin
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
95.
Low-cost implementations of on-the-fly tests for random number generators
机译:
随机数生成器的动态测试的低成本实现
作者:
Veljkovic F.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
96.
Fast cycle estimation methodology for instruction-level emulator
机译:
指令级仿真器的快速周期估计方法
作者:
Thach D.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
97.
Mapping into LUT structures
机译:
映射到LUT结构
作者:
Ray S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
98.
Row-shift decompositions for index generation functions
机译:
索引生成函数的行移位分解
作者:
Sasao T.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
99.
Analysis of multi-domain scenarios for optimized dynamic power management strategies
机译:
分析多域方案以优化动态电源管理策略
作者:
Zimmermann J.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
100.
TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs
机译:
TempoMP:异构MPSoC中温度的集成预测和管理
作者:
Sharifi S.
会议名称:
《Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012》
|
2012年
意见反馈
回到顶部
回到首页