首页> 外文会议>2014 Design, Automation amp; Test in Europe Conference and Exhibition >Standard cell library tuning for variability tolerant designs
【24h】

Standard cell library tuning for variability tolerant designs

机译:标准单元库调整,用于可变容差设计

获取原文
获取原文并翻译 | 示例

摘要

In today's semiconductor industry we see a move towards smaller technology feature sizes. These smaller feature sizes pose a problem due to mismatch between identical cells on a single die known as local variation. In this paper a library tuning method is proposed which makes a smart selection of cells in a standard cell library to reduce the design's sensitivity to local variability. This results in a robust IC design with an identifiable behavior towards local variations. Experimental results performed on a widely used microprocessor design synthesized for a high performance timing show that we can achieve a timing spread reduction of 37% at an area increase cost of 7%.
机译:在当今的半导体行业中,我们看到了朝着更小的技术特征尺寸发展的趋势。这些较小的特征尺寸由于单个裸片上相同单元之间的不匹配而引起问题,称为局部变化。在本文中,提出了一种库调整方法,该方法可以在标准单元库中智能选择单元,以降低设计对局部可变性的敏感性。这样就形成了可靠的IC设计,并具有针对局部变化的可识别行为。在针对高性能时序合成的广泛使用的微处理器设计上进行的实验结果表明,我们可以在面积增加成本为7%的情况下实现37%的时序扩展减少。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号