掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Design, Automation & Test in Europe Conference and Exhibition
Design, Automation & Test in Europe Conference and Exhibition
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring
机译:
FEPMA:基于设备驱动层事件监控的Android智能手机的细粒度事件驱动功率计
作者:
Kim Kitae
;
Shin Donghwa
;
Xie Qing
;
Wang Yanzhi
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
2.
Library-based scalable refinement checking for contract-based design
机译:
基于库的基于库的可扩展性精制检查基于合同的设计
作者:
Iannopollo Antonio
;
Nuzzo Pierluigi
;
Tripakis Stavros
;
Sangiovanni-Vincentelli Alberto
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
3.
Minimizing stack memory for hard real-time applications on multicore platforms
机译:
最大限度地减少多核平台上的硬实时应用程序的堆栈内存
作者:
Dong Chuansheng
;
Zeng Haibo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
4.
Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking
机译:
用于支持空间 - 多任务处理的GPU的过程变体识别工作负载分区算法
作者:
Aguilera Paula
;
Lee Jungseob
;
Farmahini-Farahani Amin
;
Morrow Katherine
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
5.
Multi-objective distributed run-time resource management for many-cores
机译:
多核多目标分布式运行时资源管理
作者:
Wildermann Stefan
;
Glas Michael
;
Teich Jurgen
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
6.
Image progressive acquisition for hardware systems
机译:
硬件系统的图像逐行获取
作者:
Liu Jianxiong
;
Bouganis Christos
;
Cheung Peter Y.K.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
7.
mDTM: Multi-objective dynamic thermal management for on-chip systems
机译:
MDTM:用于片上系统的多目标动态热管理
作者:
Khdr Heba
;
Ebi Thomas
;
Shafique Muhammad
;
Amrouch Hussam
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
8.
Simple interpolants for linear arithmetic
机译:
线性算术的简单内嵌
作者:
Scholl Christoph
;
Pigorsch Florian
;
Disch Stefan
;
Althaus Ernst
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
9.
Low-voltage organic transistors for flexible electronics
机译:
用于柔性电子器件的低压有机晶体管
作者:
Zschieschang Ute
;
Rodel Reinhold
;
Kraft Ulrike
;
Takimiya Kazuo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
10.
Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks
机译:
嵌入式可重构逻辑,用于抗拒供应链攻击的AsiC设计混淆
作者:
Liu Bao
;
Wang Brandon
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
11.
Scalable liveness verification for communication fabrics
机译:
可扩展的通信面料的活性验证
作者:
Joosten Sebastiaan J.C.
;
Schmaltz Julien
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
12.
Efficient high-sigma yield analysis for high dimensional problems
机译:
高尺寸问题的高度高Σ产量分析
作者:
Zhang Moning
;
Ye Zuochang
;
Wang Yan
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
High-sigma;
high dimension;
importance sampling;
yield analysis;
13.
Automatic specification granularity tuning for design space exploration
机译:
自动规范粒度调整设计空间探索
作者:
Zhang Jiaxing
;
Schirner Gunar
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
14.
Rewiring for threshold logic circuit minimization
机译:
重新驾驶阈值逻辑电路最小化
作者:
Lin Chia-Chun
;
Wang Chun-Yao
;
Chen Yung-Chih
;
Huang Ching-Yi
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
15.
Asynchronous design for new on-chip wide dynamic range power electronics
机译:
新型片上宽动态范围电力电子产品的异步设计
作者:
Shang Delong
;
Zhang Xuefu
;
Xia Fei
;
Yakovlev Alex
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Asynchronous Control;
Asynchronous Loads;
Energy Harvesting;
Power Delivery Method;
Power Electronics;
Robustness;
Task and Power Scheduling;
Voltage Threshold Sensing;
16.
An effective approach to automatic functional processor test generation for small-delay faults
机译:
用于小延迟故障的自动功能处理器测试生成有效方法
作者:
Riefert Andreas
;
Ciganda Lyl
;
Sauer Matthias
;
Bernardi Paolo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
17.
Thermal-aware frequency scaling for adaptive workloads on heterogeneous MPSoCs
机译:
用于异构MPSOC的自适应工作负载的热感知频率缩放
作者:
Yu Heng
;
Syed Rizwan
;
Ha Yajun
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
18.
Resource optimization for CSDF-modeled streaming applications with latency constraints
机译:
具有延迟约束的CSDF模型流应用的资源优化
作者:
Liu Di
;
Spasic Jelena
;
Zhai Jiali Teddy
;
Stefanov Todor
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
19.
Spintronics for low-power computing
机译:
低功耗计算的闪铜器
作者:
Zhang Yue
;
Zhao Weisheng
;
Klein Jacques-Olivier
;
Kang Wang
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
20.
An analog non-volatile neural network platform for prototyping RF BIST solutions
机译:
用于原型射频BIST解决方案的模拟非易失性神经网络平台
作者:
Maliuk Dzmitry
;
Makris Yiorgos
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
21.
Standard cell library tuning for variability tolerant designs
机译:
用于可变性耐受性设计的标准单元格库
作者:
Fabrie Sebastien
;
Diego Echeverri Juan
;
Vertreg Maarten
;
de Gyvez Jose Pineda
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Gate delay variation;
Intra-die variation;
Local variation;
Mismatch variation;
Standard cell library tuning;
Statistical library;
Variability tolerant design;
22.
On-device objective-C application optimization framework for high-performance mobile processors
机译:
用于高性能移动处理器的设备On-Device Objective-C应用优化框架
作者:
Bournoutian Garo
;
Orailoglu Alex
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
23.
Modeling steep slope devices: From circuits to architectures
机译:
建模陡坡斜坡装置:从电路到架构
作者:
Swaminathan Karthik
;
Kim Moon Seok
;
Chandramoorthy Nandhini
;
Sedighi Behnam
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
24.
An activity-sensitive contention delay model for highly efficient deterministic full-system simulations
机译:
高效确定性全系统模拟的活动敏感争用延迟模型
作者:
Chen Shu-Yung
;
Chen Chien-Hao
;
Tsay Ren-Song
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
25.
EATBit: Effective automated test for binary translation with high code coverage
机译:
鹿:高码覆盖率的二进制翻译有效自动化测试
作者:
Guo Hui
;
Wang Zhenjiang
;
Wu Chenggang
;
He Ruining
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
26.
An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs
机译:
节能MM波无线NOC的自适应传输功率技术
作者:
Mineo Andrea
;
Palesi Maurizio
;
Ascia Giuseppe
;
Catania Vincenzo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
27.
Bus designs for time-probabilistic multicore processors
机译:
时间概率多核处理器的总线设计
作者:
Jalle Javier
;
Kosmidis Leonidas
;
Abella Jaume
;
Quinones Eduardo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
28.
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems
机译:
软错误弹性云计算系统的能量感知容错调度框架
作者:
Gao Yue
;
Gupta Sandeep K.
;
Wang Yanzhi
;
Pedram Massoud
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
29.
Energy-efficient FPGA implementation for binomial option pricing using OpenCL
机译:
使用OpenCL的二项式选项定价的节能FPGA实现
作者:
Morales Valentin Mena
;
Horrein Pierre-Henri
;
Baghdadi Amer
;
Hochapfel Erik
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
30.
Code generation for embedded heterogeneous architectures on android
机译:
Android上嵌入式异构架构的代码生成
作者:
Membarth Richard
;
Reiche Oliver
;
Hannig Frank
;
Teich Jurgen
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
31.
Multi-variant-based design space exploration for automotive embedded systems
机译:
基于多变体的汽车嵌入式系统设计空间探索
作者:
Graf Sebastian
;
Glas Michael
;
Teich Jurgen
;
Lauer Christoph
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
32.
Energy-efficient scheduling for memory-intensive GPGPU workloads
机译:
用于记忆密集型GPGPU工作负载的节能调度
作者:
Song Seokwoo
;
Lee Minseok
;
Kim John
;
Seo Woong
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
33.
Efficient SMT-based ATPG for interconnect open defects
机译:
高效的基于SMT的ATPG,用于互连打开缺陷
作者:
Erb Dominik
;
Scheibler Karsten
;
Sauer Matthias
;
Becker Bernd
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
ATPG;
Interconnect opens;
SMT;
test generation;
unknown values;
34.
An efficient manipulation package for Biconditional Binary Decision Diagrams
机译:
用于双层二进制决策图的高效操作包
作者:
Amaru Luca
;
Gaillardon Pierre-Emmanuel
;
De Micheli Giovanni
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
35.
Implicit index-aware model order reduction for RLC/RC networks
机译:
RLC / RC网络的隐式索引感知模型顺序减少
作者:
Banagaaya Nicodemus
;
Ali Giuseppe
;
Schilders Wil.H.A.
;
Tischendorf Caren
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
36.
ArChiVED: Architectural checking via event digests for high performance validation
机译:
存档:通过事件摘要架构检查高性能验证
作者:
Hsu Chang-Hong
;
Chatterjee Debapriya
;
Morad Ronny
;
Ga Raviv
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
37.
Property directed invariant refinement for program verification
机译:
Properted Finariant精制程序验证
作者:
Welp Tobias
;
Kuehlmann Andreas
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
38.
Using MaxBMC for Pareto-optimal circuit initialization
机译:
使用MAXBMC进行帕累托 - 最佳电路初始化
作者:
Reimer Sven
;
Sauer Matthias
;
Schubert Tobias
;
Becker Bernd
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
39.
ElastiStore: An elastic buffer architecture for Network-on-Chip routers
机译:
Elastistore:用于网上路由器的弹性缓冲区架构
作者:
Seitanidis I.
;
Psarras A.
;
Dimitrakopoulos G.
;
Nicopoulos C.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
40.
Nostradamus: Low-cost hardware-only error detection for processor cores
机译:
Nostradamus:处理器核心的低成本硬件错误检测
作者:
Nathan Ralph
;
Sorin Daniel J.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
41.
Interconnect test for 3D stacked memory-on-logic
机译:
互连测试3D堆叠内存On-Logic
作者:
Taouil Mottaqiallah
;
Masadeh Mahmoud
;
Hamdioui Said
;
Marinissen Erik Jan
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
3D-SIC;
interconnect testing;
memory-on-logic;
42.
Emulation-based robustness assessment for automotive smart-power ICs
机译:
基于仿真的汽车智能电力IC的鲁棒性评估
作者:
Harrant Manuel
;
Nirmaier Thomas
;
Kirscher Jerome
;
Grimm Christoph
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Application Fitness;
Automotive Power Micro-electronics;
Electronic Throttle Control;
Post-Silicon Verification;
Worst-Case Distance;
43.
Protocol attacks on advanced PUF protocols and countermeasures
机译:
协议攻击高级PUF协议和对策
作者:
van Dijk Marten
;
Ruhrmair Ulrich
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
(Strong) PUFs;
(Strong) Physical Unclonable Functions;
Attack Models;
Bit Commitment;
Certifiable PUFs;
Erasable PUFs;
Key Exchange;
Oblivious Transfer;
44.
Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias
机译:
用液体冷却和硅通孔的3D IC的高效瞬态热模拟
作者:
Fourmigue Alain
;
Beltrame Giovanni
;
Nicolescu Gabriela
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
3D ICs;
Compact Thermal Model;
Finite Difference Method;
Liquid-cooling;
45.
Empowering study of delay bound tightness with simulated annealing
机译:
模拟退火的延迟绑定密封性的赋予赋予效力
作者:
Zhao Xueqian
;
Lu Zhonghai
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
46.
Time-predictable execution of multithreaded applications on multicore systems
机译:
在多核系统上的多线程应用程序的时间可预测执行
作者:
Alhammad Ahmed
;
Pellizzoni Rodolfo
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
47.
Acceptance and random generation of event sequences under real time calculus constraints
机译:
在实时结石约束下接受和随机产生事件序列
作者:
Banerjee Kajori
;
Dasgupta Pallab
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
48.
PUF modeling attacks: An introduction and overview
机译:
PUF建模攻击:介绍和概述
作者:
Ruhrmair Ulrich
;
Solter Jan
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Cryptanalysis;
Machine Learning;
Modeling Attacks;
Physical Unclonable Functions;
49.
Coverage evaluation of post-silicon validation tests with virtual prototypes
机译:
使用虚拟原型的硅后验证测试的覆盖率评估
作者:
Cong Kai
;
Lei Li
;
Yang Zhenkun
;
Xie Fei
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
50.
Reconfigurable silicon nanowire devices and circuits: Opportunities and challenges
机译:
可重新配置的硅纳米线装置和电路:机遇和挑战
作者:
Weber Walter M.
;
Trommer Jens
;
Grube Matthias
;
Heinzig Andre
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
RFET;
Reconfigurable transistor;
Schottky FET;
doping free CMOS;
inverter;
nanowire;
reconfigurable circuit;
symmetric FET;
universal transistor;
51.
Bias Temperature Instability analysis of FinFET based SRAM cells
机译:
基于FinFET的SRAM细胞偏置温度稳定性分析
作者:
Khan Seyab
;
Agbo Innocent
;
Hamdioui Said
;
Kukner Halil
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
BTI;
NBTI;
PBTI;
SRAM cell;
Stability metrics;
52.
Thermal management of manycore systems with silicon-photonic networks
机译:
用硅 - 光子网络进行多核系统的热管理
作者:
Zhang Tiansheng
;
Abellan Jose L.
;
Joshi Ajay
;
Coskun Ayse K.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
53.
Timing analysis of First-Come First-Served scheduled interval-timed Directed Acyclic Graphs
机译:
首次出现的定时分析定时分析时间间隔定时定向非循环图
作者:
Frijns R.M.W.
;
Adyanthaya S.
;
Stuijk S.
;
Voeten J.P.M.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
54.
Achieving efficient packet-based memory system by exploiting correlation of memory requests
机译:
通过利用内存请求的相关性实现高效的数据包的存储器系统
作者:
Lu Tianyue
;
Chen Licheng
;
Chen Mingyu
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
55.
Contention aware frequency scaling on CMPs with guaranteed quality of service
机译:
CMP上的争用意识频率缩放,具有保证的服务质量
作者:
Shen Hao
;
Qiu Qinru
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
consolidation;
contention;
frequency scaling;
power management;
56.
Programmable decoder and shadow threads: Tolerate remote code injection exploits with diversified redundancy
机译:
可编程解码器和阴影线程:容忍远程代码注入利用,具有多样化的冗余
作者:
Liu Ziyi
;
Shi Weidong
;
Xu Shouhuai
;
Lin Zhiqiang
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
57.
Fault-tolerant control synthesis and verification of distributed embedded systems
机译:
容错控制合成和分布式嵌入式系统的验证
作者:
Kauer Matthias
;
Soudbakhsh Damoon
;
Goswami Dip
;
Chakraborty Samarjit
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
58.
Stochastic analysis of Bubble Razor
机译:
泡沫剃须刀的随机分析
作者:
Zhang Guowei
;
Beerel Peter A.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Resilient design;
performance analysis;
variability;
59.
Provably minimal energy using coordinated DVS and power gating
机译:
使用协调DVS和电源门控能量最小的能量
作者:
Conos Nathaniel A.
;
Meguerdichian Saro
;
Dabiri Foad
;
Potkonjak Miodrag
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
60.
Brisk and limited-impact NoC routing reconfiguration
机译:
快步和有限的影响NoC路由重新配置
作者:
Lee Doowon
;
Parikh Ritesh
;
Bertacco Valeria
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
61.
Toward ultralow-power computing at exteme with silicon carbide (SiC) nanoelectromechanical logic
机译:
在碳化硅(SIC)纳米机电逻辑上的EXTRAW-POWER计算
作者:
Bhunia Swarup
;
Ranganathan Vaishnavi
;
He Tina
;
Rajgopal Srihari
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
computing;
nanoelectromechanical logic;
nanoelectromechanical systems (NEMS);
silicon carbide (SiC);
switches;
62.
Optimal dimensioning of active cell balancing architectures
机译:
活动细胞平衡架构的最佳尺寸
作者:
Narayanaswamy Swaminathan
;
Steinhorst Sebastian
;
Lukasiewycz Martin
;
Kauer Matthias
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
63.
Extending lifetime of battery-powered coarse-grained reconfigurable computing platforms
机译:
扩展电池供电的粗粒可重新配置计算平台的寿命
作者:
Yin Shouyi
;
Ouyang Peng
;
Liu Leibo
;
Wei Shaojun
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
64.
Rate-adaptive tasks: Model, analysis, and design issues
机译:
速率 - 自适应任务:模型,分析和设计问题
作者:
Buttazzo Giorgio C.
;
Bini Enrico
;
Buttle Darren
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
65.
Synthesis algorithm of parallel index generation units
机译:
并行索引生成单位的综合算法
作者:
Matsunaga Yusuke
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
index generation function;
logic synthesis;
66.
Impact of steep-slope transistors on non-von Neumann architectures: CNN case study
机译:
陡坡晶体管对非von Neumann架构的影响:CNN案例研究
作者:
Palit Indranil
;
Sedighi Behnam
;
Horvath Andras
;
Hu X.Sharon
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
67.
Feasibility exploration of NVM based I-cache through MSHR enhancements
机译:
基于NVM的I-Cache通过MSHR增强的可行性探索
作者:
Komalan Manu
;
Perez Jose Ignacio Gomez
;
Tenllado Christian
;
Raghavan Praveen
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
68.
Spatial pattern prediction based management of faulty data caches
机译:
基于空间模式预测的错误数据缓存管理
作者:
Keramidas Georgios
;
Mavropoulos Michail
;
Karvouniari Anna
;
Nikolos Dimitris
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
69.
Quo vadis, PUF?: Trends and challenges of emerging physical-disorder based security
机译:
QUO VADIS,PUF?:新兴物理障碍安全的趋势和挑战
作者:
Rostami Masoud
;
Wendt James B.
;
Potkonjak Miodrag
;
Koushanfar Farinaz
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
70.
Mission profile aware robustness assessment of automotive power devices
机译:
特派团简介意识到汽车电源设备的鲁棒性评估
作者:
Nirmaier Thomas
;
Burger Andreas
;
Harrant Manuel
;
Viehl Alexander
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Automotive Powers;
Finite-State Machines;
Markov Process;
Mission Profile;
Power Transients;
Robustness;
71.
Memory-constrained static rate-optimal scheduling of synchronous dataflow graphs via retiming
机译:
通过重新定位,内存受限静态速率 - 同步数据流图的最佳调度
作者:
Zhu Xue-Yang
;
Geilen Marc
;
Basten Twan
;
Stuijk Sander
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
72.
ASLAN: Synthesis of approximate sequential circuits
机译:
Aslan:近似顺序电路的合成
作者:
Ranjan Ashish
;
Raha Arnab
;
Venkataramani Swagath
;
Roy Kaushik
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Approximate Circuits;
Approximate Computing;
Logic Synthesis;
Low Power Design;
Sequential circuits;
73.
Automatic detection of concurrency bugs through event ordering constraints
机译:
通过事件排序约束自动检测并发错误
作者:
Murillo Luis Gabriel
;
Wawroschek Simon
;
Castrillon Jeronimo
;
Leupers Rainer
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
74.
Efficient analysis of variability impact on interconnect lines and resistor networks
机译:
高效分析对互连线和电阻网络的可变性影响
作者:
Villena Jorge Fernandez
;
Silveira L.Miguel
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Electromigration;
Litho-induced Variability Analysis;
Power Grid Analysis;
75.
Co-optimization of memory BIST grouping, test scheduling, and logic placement
机译:
内存BIST分组,测试调度和逻辑放置的共同优化
作者:
Kahng Andrew B.
;
Kang Ilgweon
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
76.
Introducing Thread Criticality awareness in Prefetcher Aggressiveness Control
机译:
引入预取攻击性控制中的线程临界意识
作者:
Panda Biswabandan
;
Balachandran Shankar
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
77.
Verification-guided voter minimization in triple-modular redundant circuits
机译:
三重模块化冗余电路中的验证引导的选民最小化
作者:
Burlyaev Dmitry
;
Fradet Pascal
;
Girault Alain
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
78.
Hybrid side-channel/machine-learning attacks on PUFs: A new threat?
机译:
Hybrid侧通道/机器学习攻击对PUFS:新威胁?
作者:
Xu Xiaolin
;
Burleson Wayne
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
79.
Advanced system on a chip design based on controllable-polarity FETs
机译:
基于可控极性FET的芯片设计先进系统
作者:
Gaillardon Pierre-Emmanuel
;
Amaru Luca
;
Zhang Jian
;
De Micheli Giovanni
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Datapath;
Functionality-enhanced devices;
Low-power techniaues;
System-on-Chip;
80.
Statistical static timing analysis using a skew-normal canonical delay model
机译:
统计静态定时分析使用歪曲正常的规范延迟模型
作者:
Vijaykumar M.
;
Vasudevan V.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
81.
Real-time trust evaluation in integrated circuits
机译:
集成电路实时信任评估
作者:
Jin Yier
;
Sullivan Dean
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
82.
Optimized buffer allocation in multicore platforms
机译:
多核平台中的优化缓冲区分配
作者:
Odendahl Maximilian
;
Goens Andres
;
Leupers Rainer
;
Ascheid Gerd
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
83.
Time-critical computing on a single-chip massively parallel processor
机译:
单芯片大规模平行处理器上的时间关键计算
作者:
de Dinechin Benoit Dupont
;
van Amstel Duco
;
Poulhies Marc
;
Lager Guillaume
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
84.
Multi resolution touch panel with built-in fingerprint sensing support
机译:
具有内置指纹感应支持的多分辨率触摸面板
作者:
Koundinya Pranav
;
Theril Sandhya
;
Feng Tao
;
Prakash Varun
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
85.
Mission profile aware IC design — A case study
机译:
使命简介意识IC设计 - 案例研究
作者:
Jerke Goeran
;
Kahng Andrew B.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Electromigration;
IC Design;
Mission Profile;
Mission Profile Aware Design;
Reliability;
Robustness;
Validation;
Verification;
86.
DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors
机译:
DARP:在微处理器中动态适应弹性管道设计
作者:
Chen Hu
;
Roy Sanghamitra
;
Chakraborty Koushik
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
87.
Energy-efficient hardware acceleration through computing in the memory
机译:
通过在内存中计算节能硬件加速
作者:
Paul Somnath
;
Karam Robert
;
Bhunia Swarup
;
Puri Ruchir
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
88.
An efficient reliable PUF-based cryptographic key generator in 65nm CMOS
机译:
65nm CMOS中有效可靠的PUF基于PUF的加密密钥发生器
作者:
Bhargava Mudit
;
Mai Ken
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
89.
Scalability bottlenecks discovery in MPSoC platforms using data mining on simulation traces
机译:
使用数据挖掘在模拟迹线上的MPSOC平台中的可扩展性瓶颈发现
作者:
Lagraa Sofiane
;
Termier Alexandre
;
Petrot Frederic
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
90.
A power-efficient reconfigurable architecture using PCM configuration technology
机译:
使用PCM配置技术的功能高效可重新配置架构
作者:
Ahari Ali
;
Asadi Hossein
;
Khaleghi Behnam
;
Tahoori Mehdi B.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
91.
Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures
机译:
可靠性感知异常:在微处理器阵列结构中容忍间歇性故障
作者:
Dweik Waleed
;
Annavaram Murali
;
Dubois Michel
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
array strucutre;
de-configuration;
fault injection;
intermittent fault;
92.
Parallel probe based dynamic connection setup in TDM NoCs
机译:
基于TDM NOC的并行探测动态连接设置
作者:
Liu Shaoteng
;
Jantsch Axel
;
Lu Zhonghai
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
93.
Substituting transition faults with path delay faults as a basic delay fault model
机译:
用路径延迟故障替换过渡故障作为基本延迟故障模型
作者:
Pomeranz Irith
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
94.
Global fan speed control considering non-ideal temperature measurements in enterprise servers
机译:
考虑企业服务器中的非理想温度测量的全局风扇速度控制
作者:
Kim Jungsoo
;
Sabry Mohamed M.
;
Atienza David
;
Vaidyanathan Kalyan
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
95.
A low-cost radiation hardened flip-flop
机译:
低成本辐射硬化触发器
作者:
Lin Yang
;
Zwolinski Mark
;
Halak Basel
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
Soft error;
fault-tolerant;
reliability;
single-event transient;
single-event upset;
96.
Fast STA prediction-based gate-level timing simulation
机译:
基于STA预测的门级定时仿真
作者:
Ahmad Tariq B.
;
Ciesielski Maciej J.
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
ASIC;
Gate-level timing;
Opencores;
RTL;
Verilog;
dynamic timing simulation;
static timing analysis;
97.
Facilitating timing debug by logic path correspondence
机译:
通过逻辑路径对应促进时序调试
作者:
Adler Oshri
;
Arbel Eli
;
Averbouch Ilia
;
Beer Ilan
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
98.
Resistive memories: Which applications?
机译:
电阻回忆:哪种应用?
作者:
Clermidy Fabien
;
Jovanovic Natalija
;
Onkaraiah Santhosh
;
Oucheikh Houcine
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
关键词:
FPGA;
FlipFlop;
Memristors;
NVM;
ReRAM;
99.
Isochronous networks by construction
机译:
施工等时代网络
作者:
Bai Yu
;
Schneider Klaus
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
100.
Low-latency wireless 3D NoCs via randomized shortcut chips
机译:
通过随机快捷方式芯片的低延迟无线3D NOCS
作者:
Matsutani Hiroki
;
Koibuchi Michihiro
;
Fujiwara Ikki
;
Kagami Takahiro
会议名称:
《Design, Automation Test in Europe Conference and Exhibition》
|
2014年
意见反馈
回到顶部
回到首页