掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
计算机、自动化
>
2014 Design, Automation & Test in Europe Conference and Exhibition
2014 Design, Automation & Test in Europe Conference and Exhibition
召开年:
2014
召开地:
Dresden(DE)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
大众硬件
自动化技术与应用
数码
计算机教育
新电脑
办公自动化(综合版)
化学传感器
小型微型计算机系统
现代计算机(普及版)
计算机网络世界
更多>>
相关外文期刊
Active Server Developer's Journal
Concurrent engineering
Open Systems & Information Dynamics
Ada user journal
International journal of agent technologies and systems
International Journal of Cloud Computing
Journal of Software Maintenance and Evolution
Australian computer journal
Software and systems modeling
Information systems journal
更多>>
相关中文会议
第22届全国计算机新科技与计算机教育学术会议
2008工业自动化与仪表应用学术交流会
中国实验动物学会第六届学术年会
全国先进控制技术与仪表装置应用学术交流会议和全国自动化产品及自控系统市场战略论坛
1999信息安全国际会议
全国第19届计算机技术与应用学术会议(CACIS·2008)
'98中国有色金属工业自动化及计算机应用学术会议
MSC.Software虚拟产品开发(VPD)中国用户大会
全国工业企业计算机应用学术交流会
全国第八届Java技术及应用大会
更多>>
相关外文会议
Southeastern International Conference on Combinatorics, Graph Theory and Computing; 20060306-10; Boca Raton,FL(US)
Workshop on sense, concept and entity representations and their applications
Conference on Optomechatronic Systems 5-6 November 2000 Boston, USA
2015 IEEE International Symposium on Predictive Control of Electrical Drives and Power Electronics
Systematic Approaches to Digital Forensic Engineering, 2009. SADFE '09
Foundations and applications of security analysis : Revised selected papers
International Conference on Emerging Trends in Information and Communication Security(ETRICS 2006); 20060606-09; Freiburg(DE)
International Symposium on Agent-Mediated Knowledge Management(AMKM 2003); 20030324-20030326; Stanford,CA; US
Microelectronics, 1998. ICM '98
Compressive sensing III
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Width minimization in the Single-Electron Transistor array synthesis
机译:
单电子晶体管阵列综合中的宽度最小化
作者:
Liu Chian-Wei
;
Chiang Chang-En
;
Huang Ching-Yi
;
Wang Chun-Yao
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
2.
Software-based Pauli tracking in fault-tolerant quantum circuits
机译:
容错量子电路中基于软件的Pauli跟踪
作者:
Paler Alexandru
;
Devitt Simon
;
Nemoto Kae
;
Polian Ilia
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
3.
Verification-guided voter minimization in triple-modular redundant circuits
机译:
三模冗余电路中以验证为导向的选民最小化
作者:
Burlyaev Dmitry
;
Fradet Pascal
;
Girault Alain
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
4.
Trade-offs in execution signature compression for reliable processor systems
机译:
为可靠的处理器系统在执行签名压缩中进行权衡
作者:
Caplan Jonah
;
Mera Maria Isabel
;
Milder Peter
;
Meyer Brett H.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
5.
A low-power, high-performance approximate multiplier with configurable partial error recovery
机译:
具有可配置部分错误恢复功能的低功耗,高性能近似乘法器
作者:
Liu Cong
;
Han Jie
;
Lombardi Fabrizio
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
6.
A Linux-governor based Dynamic Reliability Manager for android mobile devices
机译:
适用于Android移动设备的基于Linux州长的动态可靠性管理器
作者:
Mercati Pietro
;
Bartolini Andrea
;
Paterna Francesco
;
Rosing Tajana Simunic
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
7.
Sub-threshold logic circuit design using feedback equalization
机译:
使用反馈均衡的亚阈值逻辑电路设计
作者:
Zangeneh Mahmoud
;
Joshi Ajay
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
8.
Stochastic analysis of Bubble Razor
机译:
泡沫剃刀的随机分析
作者:
Zhang Guowei
;
Beerel Peter A.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Resilient design;
performance analysis;
variability;
9.
A low power and robust carbon nanotube 6T SRAM design with metallic tolerance
机译:
低功耗且坚固的碳纳米管6T SRAM设计具有金属公差
作者:
Sun Luo
;
Mathew Jimson
;
Shafik Rishad A.
;
Pradhan Dhiraj K.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
10.
Property directed invariant refinement for program verification
机译:
面向属性的不变式细化程序验证
作者:
Welp Tobias
;
Kuehlmann Andreas
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
11.
Efficient SMT-based ATPG for interconnect open defects
机译:
高效的基于SMT的ATPG,用于互连开放缺陷
作者:
Erb Dominik
;
Scheibler Karsten
;
Sauer Matthias
;
Becker Bernd
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
ATPG;
Interconnect opens;
SMT;
test generation;
unknown values;
12.
Interconnect test for 3D stacked memory-on-logic
机译:
3D堆叠逻辑存储器的互连测试
作者:
Taouil Mottaqiallah
;
Masadeh Mahmoud
;
Hamdioui Said
;
Marinissen Erik Jan
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
3D-SIC;
interconnect testing;
memory-on-logic;
13.
Test and non-test cubes for diagnostic test generation based on merging of test cubes
机译:
测试和非测试多维数据集,用于基于测试多维数据集的合并来生成诊断测试
作者:
Pomeranz Irith
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
14.
New implementions of predictive alternate analog/RF test with augmented model redundancy
机译:
具有增强模型冗余的预测性替代模拟/ RF测试的新实现
作者:
Ayari H.
;
Azais F.
;
Bernard S.
;
Comte M.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Test;
alternate test;
analog/RF integrated circuits;
specification prediction;
test confidence;
15.
System integration — The bridge between More than Moore and More Moore
机译:
系统集成—摩尔定律与摩尔定律之间的桥梁
作者:
Heinig Andy
;
Dietrich Manfred
;
Herkersdorf Andreas
;
Miller Felix
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Design Space Exploration;
MEMS;
NoC (Networks on Chip);
Packaging;
System Integration;
16.
A landscape of the new dark silicon design regime
机译:
新型深色硅设计制度的概况
作者:
Taylor Michael B.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
17.
Modeling steep slope devices: From circuits to architectures
机译:
建模陡坡设备:从电路到架构
作者:
Swaminathan Karthik
;
Kim Moon Seok
;
Chandramoorthy Nandhini
;
Sedighi Behnam
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
18.
Accelerating graph computation with racetrack memory and pointer-assisted graph representation
机译:
利用赛道记忆和指针辅助图形表示来加速图形计算
作者:
Park Eunhyuk
;
Yoo Sungjoo
;
Lee Sunggu
;
Li Helen
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
19.
Word-line power supply selector for stability improvement of embedded SRAMs in high reliability applications
机译:
字线电源选择器,用于提高高可靠性应用中嵌入式SRAM的稳定性
作者:
Alorda B.
;
Carmona C.
;
Bota S.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
High Reliability applications;
SRAM stability;
Word-line modulation;
20.
Fast and accurate computation using stochastic circuits
机译:
使用随机电路进行快速准确的计算
作者:
Alaghi Armin
;
Hayes John P.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Computer arithmetic;
Monte Carlo methods;
Stochastic computing;
progressive precision;
21.
A multi banked — Multi ported — Non blocking shared L2 cache for MPSoC platforms
机译:
多存储区—多端口—用于MPSoC平台的无阻塞共享L2缓存
作者:
Loi Igor
;
Benini Luca
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
22.
DRAM-based coherent caches and how to take advantage of the coherence protocol to reduce the refresh energy
机译:
基于DRAM的一致性缓存以及如何利用一致性协议降低刷新能量
作者:
Jaksic Zoran
;
Canal Ramon
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
3T DRAM;
6T SRAM;
FinFETs;
cache coherence;
retention time;
23.
Probabilistic standard cell modeling considering non-Gaussian parameters and correlations
机译:
考虑非高斯参数和相关性的概率标准单元格建模
作者:
Lange Andre
;
Sohrmann Christoph
;
Jancke Roland
;
Haase Joachim
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
24.
Ultra-low power electronics with Si/Ge tunnel FET
机译:
具有Si / Ge隧道FET的超低功耗电子产品
作者:
Trivedi Amit Ranjan
;
Amir Mohammad Faisal
;
Mukhopadhyay Saibal
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Cellular neural network;
Low power design;
Operational transconductance amplifier;
SRAM;
Tunnel FET;
25.
III-V semiconductor nanowires for future devices
机译:
用于未来设备的III-V半导体纳米线
作者:
Schmid H.
;
Borg B.M.
;
Moselund K.
;
Das Kanungo P.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Esaki diodes;
III-V semiconductors;
Tunnel FETs;
nanowires;
26.
Advanced system on a chip design based on controllable-polarity FETs
机译:
基于可控极性FET的高级片上系统设计
作者:
Gaillardon Pierre-Emmanuel
;
Amaru Luca
;
Zhang Jian
;
De Micheli Giovanni
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Datapath;
Functionality-enhanced devices;
Low-power techniaues;
System-on-Chip;
27.
Impact of steep-slope transistors on non-von Neumann architectures: CNN case study
机译:
陡坡晶体管对非冯·诺依曼架构的影响:CNN案例研究
作者:
Palit Indranil
;
Sedighi Behnam
;
Horvath Andras
;
Hu X.Sharon
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
28.
Optimal dimensioning of active cell balancing architectures
机译:
有源电池平衡架构的最佳尺寸
作者:
Narayanaswamy Swaminathan
;
Steinhorst Sebastian
;
Lukasiewycz Martin
;
Kauer Matthias
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
29.
Design and fabrication of a 315 #x03BC;#x0397; bondwire micro-transformer for ultra-low voltage energy harvesting
机译:
315μH键合线微变压器的设计和制造,用于超低压能量收集
作者:
Macrelli Enrico
;
Wang Ningning
;
Roy Saibal
;
Hayes Michael
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
DC-DC;
PCB;
bonding wires;
bondwire;
converter;
energy harvesting;
ferrite;
magnetics;
on-chip;
transformer;
30.
Design and evaluation of fine-grained power-gating for embedded microprocessors
机译:
嵌入式微处理器细粒度电源门的设计和评估
作者:
Kondo Masaaki
;
Kobyashi Hiroaki
;
Sakamoto Ryuichi
;
Wada Motoki
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
31.
Library-based scalable refinement checking for contract-based design
机译:
基于库的可伸缩细化检查,用于基于合同的设计
作者:
Iannopollo Antonio
;
Nuzzo Pierluigi
;
Tripakis Stavros
;
Sangiovanni-Vincentelli Alberto
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
32.
p-OFTL: An object-based semantic-aware parallel flash translation layer
机译:
p-OFTL:基于对象的语义感知并行闪存转换层
作者:
Wang Wei
;
Lu Youyou
;
Shu Jiwu
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
33.
Using guided local search for adaptive resource reservation in large-scale embedded systems
机译:
在大型嵌入式系统中使用引导式本地搜索进行自适应资源预留
作者:
ter Braak Timon D.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
34.
A low-cost radiation hardened flip-flop
机译:
低成本辐射硬化触发器
作者:
Lin Yang
;
Zwolinski Mark
;
Halak Basel
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Soft error;
fault-tolerant;
reliability;
single-event transient;
single-event upset;
35.
The connected car and its implication to the automotive chip roadmap
机译:
联网汽车及其对汽车芯片路线图的影响
作者:
Bolle Michael
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
36.
Cross layer resiliency in real world
机译:
现实世界中的跨层弹性
作者:
Chandra Vikas
;
Mitra Subhasish
;
Chandra Vikas
;
Cher Chen-Yong
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
37.
Hardware/software approach for code synchronization in low-power multi-core sensor nodes
机译:
低功耗多核传感器节点中用于代码同步的硬件/软件方法
作者:
Braojos Rubean
;
Dogan Ahmed
;
Beretta Ivan
;
Ansaloni Giovanni
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Bio-Medical Signal Processing;
Code Synchronization;
Embedded Systems;
Wireless Sensor Nodes;
38.
A quality-scalable and energy-efficient approach for spectral analysis of heart rate variability
机译:
用于心率变异性频谱分析的质量可扩展且节能的方法
作者:
Karakonstantis Georgios
;
Sankaranarayanan Aviinaash
;
Sabry Mohamed M.
;
Atienza David
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
39.
Cache aging reduction with improved performance using dynamically re-sizable cache
机译:
使用可动态调整大小的缓存来减少缓存老化并提高性能
作者:
Mahmood Haroon
;
Poncino Massimo
;
Macii Enrico
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
40.
A thermal resilient integration of many-core microprocessors and main memory by 2.5D TSI I/Os
机译:
通过2.5D TSI I / O将多核微处理器和主存储器进行热弹性集成
作者:
Wu Sih-Sian
;
Wang Kanwen
;
Sai Manoj P.D.
;
Ho Tsung-Yi
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
41.
Asynchronous Asymmetrical Write Termination (AAWT) for a low power STT-MRAM
机译:
低功耗STT-MRAM的异步非对称写终止(AAWT)
作者:
Bishnoi Rajendra
;
Ebrahimi Mojtaba
;
Oboril Fabian
;
Tahoori Mehdi B.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
42.
Write-once-memory-code phase change memory
机译:
一次写入存储代码相变存储器
作者:
Li Jiayin
;
Mohanram Kartik
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
43.
Complementary resistive switch based stateful logic operations using material implication
机译:
使用材料含义的基于互补电阻开关的状态逻辑运算
作者:
Yang Yuanfan
;
Mathew Jimson
;
Pradhan Dhiraj K.
;
Ottavi Marco
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
44.
Scalability bottlenecks discovery in MPSoC platforms using data mining on simulation traces
机译:
使用仿真轨迹上的数据挖掘在MPSoC平台中发现可伸缩性瓶颈
作者:
Lagraa Sofiane
;
Termier Alexandre
;
Petrot Frederic
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
45.
A layered approach for testing timing in the model-based implementation
机译:
在基于模型的实现中测试时序的分层方法
作者:
Kim BaekGyu
;
Hwang Hyeon I
;
Park Taejoon
;
Son Sang H.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
46.
Model-based protocol log generation for testing a telecommunication test harness using CLP
机译:
基于模型的协议日志生成,用于使用CLP测试电信测试工具
作者:
Balck Kenneth
;
Grinchtein Olga
;
Pearson Justin
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
47.
Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs
机译:
DVFS和映射探索相结合,可改善MPSoC的寿命和软错误敏感性
作者:
Das A.
;
Kumar A.
;
Veeravalli B.
;
Bolchini C.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
48.
Monitoring and WCET analysis in COTS multi-core-SoC-based mixed-criticality systems
机译:
基于COTS多核SoC的混合关键性系统中的监视和WCET分析
作者:
Nowotsch Jan
;
Paulitsch Michael
;
Henrichsen Arne
;
Pongratz Werner
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
WCET;
multi-core;
safety-critical real-time systems;
temporal partitioning;
49.
ARO-PUF: An aging-resistant ring oscillator PUF design
机译:
ARO-PUF:抗老化环形振荡器PUF设计
作者:
Rahman Md.Tauhidur
;
Forte Domenic
;
Fahrny Jim
;
Tehranipoor Mohammad
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
PUF;
PUF reliability;
aging resistant PUF;
reliable PUF;
reliable RO-based PUF;
robust PUF;
50.
Key-recovery attacks on various RO PUF constructions via helper data manipulation
机译:
通过辅助数据操作对各种RO PUF结构进行密钥恢复攻击
作者:
Delvaux Jeroen
;
Verbauwhede Ingrid
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
51.
IIR filters using stochastic arithmetic
机译:
使用随机算法的IIR滤波器
作者:
Saraf Naman
;
Bazargan Kia
;
Lilja David J.
;
Riedel Marc D.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
IIR filters;
Oversampling;
Sigma-delta modulation;
Stochastic computing;
Stochastic integrator;
52.
Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias
机译:
具有液体冷却和硅通孔的3D IC的高效瞬态热仿真
作者:
Fourmigue Alain
;
Beltrame Giovanni
;
Nicolescu Gabriela
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
3D ICs;
Compact Thermal Model;
Finite Difference Method;
Liquid-cooling;
53.
A logic integrated optimal pin-count design for digital microfluidic biochips
机译:
用于数字微流控生物芯片的逻辑集成最佳引脚数设计
作者:
Dinh Trung Anh
;
Yamashita Shigeru
;
Ho Tsung-Yi
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
54.
3D FPGA using high-density interconnect Monolithic Integration
机译:
使用高密度互连单片集成的3D FPGA
作者:
Turkyilmaz Ogun
;
Cibrario Gerald
;
Rozeau Olivier
;
Batude Perrine
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
3D;
FPGA;
monolithic integration;
55.
Integrated circuits processing chemical information: Prospects and challenges
机译:
处理化学信息的集成电路:前景与挑战
作者:
Richter A.
;
Voigt A.
;
Schuffny R.
;
Henker S.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
chemical ICs;
lab-on-chip;
56.
Interfacing to living cells
机译:
与活细胞的接口
作者:
Lauwereins Rudy
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
cells;
circulating tumor cells;
interfacing;
lens free imaging;
micro-fluidics;
neuroprobes;
stem cell cultures;
57.
Energy efficient neural networks for big data analytics
机译:
高效的神经网络用于大数据分析
作者:
Wang Yu
;
Li Boxun
;
Luo Rong
;
Chen Yiran
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
58.
Special session: How secure are PUFs really? On the reach and limits of recent PUF attacks
机译:
特别会议:PUF到底有多安全?近期PUF攻击的范围和极限
作者:
Ruhrmair Ulrich
;
Schlichtmann Ulf
;
Burleson Wayne
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Invasive Attacks;
Modeling Attacks;
Physical Unclonable Functions (PUFs);
Protocol Attacks;
Security;
Side Channel Attacks;
Strong PUFs;
Weak PUFs;
59.
PUF modeling attacks: An introduction and overview
机译:
PUF建模攻击:简介和概述
作者:
Ruhrmair Ulrich
;
Solter Jan
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Cryptanalysis;
Machine Learning;
Modeling Attacks;
Physical Unclonable Functions;
60.
Hybrid side-channel/machine-learning attacks on PUFs: A new threat?
机译:
对PUF的混合侧信道/机器学习攻击:新威胁?
作者:
Xu Xiaolin
;
Burleson Wayne
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
61.
Physical vulnerabilities of Physically Unclonable Functions
机译:
物理上无法克隆的功能的物理漏洞
作者:
Helfmeier Clemens
;
Boit Christian
;
Nedospasov Dmitry
;
Tajik Shahin
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
62.
Quo vadis, PUF?: Trends and challenges of emerging physical-disorder based security
机译:
Quo vadis,PUF ?:基于物理疾病的新兴安全性的趋势和挑战
作者:
Rostami Masoud
;
Wendt James B.
;
Potkonjak Miodrag
;
Koushanfar Farinaz
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
63.
Flexible and scalable implementation of H.264/AVC encoder for multiple resolutions using ASIPs
机译:
使用ASIP的多种分辨率的H.264 / AVC编码器的灵活可扩展实现
作者:
Doan Hong Chinh
;
Javaid Haris
;
Parameswaran Sri
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
64.
Image progressive acquisition for hardware systems
机译:
硬件系统的图像渐进式采集
作者:
Liu Jianxiong
;
Bouganis Christos
;
Cheung Peter Y.K.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
65.
High-quality real-time hardware stereo matching based on guided image filtering
机译:
基于导引图像滤波的高质量实时硬件立体匹配
作者:
Ttofis Christos
;
Theocharides Theocharis
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Embedded Systems;
FPGAs;
Stereo Matching;
66.
Optimization of standard cell based detailed placement for 16 nm FinFET process
机译:
针对16 nm FinFET工艺的基于标准单元的详细布局的优化
作者:
Du Yuelin
;
Wong Martin D.F.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
67.
Non-intrusive integration of advanced diagnosis features in automotive E/E-architectures
机译:
汽车E / E架构中高级诊断功能的非侵入式集成
作者:
Abelein Ulrich
;
Cook Alejandro
;
Engelke Piet
;
Glas Michael
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
68.
ASLAN: Synthesis of approximate sequential circuits
机译:
ASLAN:近似时序电路的综合
作者:
Ranjan Ashish
;
Raha Arnab
;
Venkataramani Swagath
;
Roy Kaushik
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Approximate Circuits;
Approximate Computing;
Logic Synthesis;
Low Power Design;
Sequential circuits;
69.
Zonotope-based nonlinear model order reduction for fast performance bound analysis of analog circuits with multiple-interval-valued parameter variations
机译:
基于带区卷的非线性模型降阶,可对具有多个间隔值的参数变化的模拟电路进行快速性能边界分析
作者:
Song Yang
;
Sai Manoj P.D.
;
Yu Hao
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
70.
Implementation issues in the hierarchical composition of performance models of analog circuits
机译:
模拟电路性能模型的分层组成中的实现问题
作者:
Velasco-Jimenez M.
;
Castro-Lopez R.
;
Roca E.
;
Fernandez F.V.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Hierarchical design methodologies;
Pareto-optimal fronts;
evolutionary algorithms;
71.
System design challenges for next generation wireless and embedded systems
机译:
下一代无线和嵌入式系统的系统设计挑战
作者:
Fuller David
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
72.
The growing importance of microelectronics from a foundry perspective
机译:
从铸造角度看微电子学的重要性日益提高
作者:
Teepe Gerd
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
73.
Startup error detection and containment to improve the robustness of hybrid FlexRay networks
机译:
启动错误检测和遏制以提高混合FlexRay网络的鲁棒性
作者:
Kordes Alexander
;
Vermeulen Bart
;
Deb Abhijit
;
Wahl Michael G.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
74.
A self-propagating wakeup mechanism for point-to-point networks with partial network support
机译:
具有部分网络支持的点对点网络的自传播唤醒机制
作者:
Seyler Jan R.
;
Streichert Thilo
;
Warkentin Juri
;
Spagele Matthias
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
75.
SAFE: Security-Aware FlexRay Scheduling Engine
机译:
SAFE:安全意识的FlexRay调度引擎
作者:
Han Gang
;
Zeng Haibo
;
Li Yaping
;
Dou Wenhua
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
76.
Modeling of an analog recording system design for ECoG and AP signals
机译:
针对ECoG和AP信号的模拟记录系统设计的建模
作者:
Heidmann Nils
;
Hellwege Nico
;
Hohlein Tim
;
Westphal Thomas
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
AP;
ECoG;
HDL;
Mixed-Signal;
Modeling;
Neural Measurement System;
77.
Model based hierarchical optimization strategies for analog design automation
机译:
用于模拟设计自动化的基于模型的分层优化策略
作者:
Afacan Engin
;
Ay Simge
;
Fernandez F.V.
;
Dundar Gunhan
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
78.
A novel low power 11-bit hybrid ADC using flash and delay line architectures
机译:
采用闪存和延迟线架构的新型低功耗11位混合ADC
作者:
Lee Hsun-Cheng
;
Abraham Jacob A.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
Analog-to-digital converter (ADC);
delay line ADC;
flash ADC;
hybrid ADC;
79.
Semi-symbolic analysis of mixed-signal systems including discontinuities
机译:
包含不连续点的混合信号系统的半符号分析
作者:
Radojicic Carna
;
Grimm Christoph
;
Moreno Javier
;
Pan Xiao
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
80.
Novel circuit topology synthesis method using circuit feature mining and symbolic comparison
机译:
利用电路特征挖掘和符号比较的新型电路拓扑综合方法
作者:
Ferent Cristian
;
Doboli Alex
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
81.
Program affinity performance models for performance and utilization
机译:
计划关联性性能模型以提高性能和利用率
作者:
Moore Ryan W.
;
Childers Bruce R.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
82.
Advanced SIMD: Extending the reach of contemporary SIMD architectures
机译:
先进的SIMD:扩展当代SIMD体系结构的范围
作者:
Boettcher Matthias
;
Al-Hashimi Bashir M.
;
Eyole Mbou
;
Gabrielli Giacomo
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
83.
A tightly-coupled hardware controller to improve scalability and programmability of shared-memory heterogeneous clusters
机译:
紧密耦合的硬件控制器,可提高共享内存异构集群的可伸缩性和可编程性
作者:
Burgio Paolo
;
Danilo Robin
;
Marongiu Andrea
;
Coussy Philippe
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
84.
Minimizing stack memory for hard real-time applications on multicore platforms
机译:
最小化多核平台上硬实时应用程序的堆栈内存
作者:
Dong Chuansheng
;
Zeng Haibo
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
85.
Time-predictable execution of multithreaded applications on multicore systems
机译:
在多核系统上可预测时间执行多线程应用程序
作者:
Alhammad Ahmed
;
Pellizzoni Rodolfo
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
86.
Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales
机译:
在纳米级嵌入式处理器中对α和中子粒子引起的软错误进行全面分析
作者:
Ebrahimi Mojtaba
;
Evans Adrian
;
Tahoori Mehdi B.
;
Seyyedi Razi
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
87.
Bias Temperature Instability analysis of FinFET based SRAM cells
机译:
基于FinFET的SRAM单元的偏置温度不稳定性分析
作者:
Khan Seyab
;
Agbo Innocent
;
Hamdioui Said
;
Kukner Halil
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
关键词:
BTI;
NBTI;
PBTI;
SRAM cell;
Stability metrics;
88.
INFORMER: An integrated framework for early-stage memory robustness analysis
机译:
信息提供者:早期存储器健壮性分析的集成框架
作者:
Ganapathy Shrikanth
;
Canal Ramon
;
Alexandrescu Dan
;
Costenaro Enrico
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
89.
Wear-out analysis of Error Correction Techniques in Phase-Change Memory
机译:
相变存储器中的纠错技术的损耗分析
作者:
Hoffman Caio
;
Ramos Luiz
;
Azevedo Rodolfo
;
Araujo Guido
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
90.
Approximating the age of RF/analog circuits through re-characterization and statistical estimation
机译:
通过重新特性化和统计估计来估计RF /模拟电路的寿命
作者:
Chang Doohwang
;
Ozev Sule
;
Sinanoglu Ozgur
;
Karri Ramesh
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
91.
Technology transfer towards Horizon 2020
机译:
技术向Horizon 2020转移
作者:
Leupers Rainer
;
When Norbert
;
Leupers Rainer
;
Roodzant Marco
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
92.
Lightweight code-based cryptography: QC-MDPC McEliece encryption on reconfigurable devices
机译:
基于轻量级代码的加密:可重配置设备上的QC-MDPC McEliece加密
作者:
von Maurich Ingo
;
Guneysu Tim
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
93.
On the assumption of mutual independence of jitter realizations in P-TRNG stochastic models
机译:
关于P-TRNG随机模型中抖动实现的相互独立性的假设
作者:
Haddad Patrick
;
Teglia Yannick
;
Bernard Florent
;
Fischer Viktor
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
94.
Sensitivity-based weighting for passivity enforcement of linear macromodels in power integrity applications
机译:
基于灵敏度的加权,可在电源完整性应用中线性宏模型的无源实施
作者:
Ubolli A.
;
Grivet-Talocia S.
;
Bandinu M.
;
Chinea A.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
95.
P/G TSV planning for IR-drop reduction in 3D-ICs
机译:
P / G TSV规划以减少3D-IC中的IR降
作者:
Wang Shengcheng
;
Firouzi Farshad
;
Oboril Fabian
;
Tahoori Mehdi B.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
96.
Package geometric aware thermal analysis by infrared-radiation thermal images
机译:
通过红外辐射热图像对包装进行几何感知的热分析
作者:
Chien Jui-Hung
;
Yu Hao
;
Hsu Ruei-Siang
;
Lin Hsueh-Ju
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
97.
Cost-effective decap selection for beyond die power integrity
机译:
具有成本效益的开盖选择,可提供超越芯片电源的完整性
作者:
Chen Yi-En
;
Tsai Tu-Hsiung
;
Chen Shi-Hao
;
Chen Hung-Ming
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
98.
Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors
机译:
具有用于多核处理器的片上/片外稳压器的电源传输系统特性
作者:
Wang Xuan
;
Xu Jiang
;
Wang Zhe
;
Chen Kevin J.
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
99.
Mask-cost-aware ECO routing
#x2217;
机译:
掩码成本感知ECO路由
* sup>
作者:
Chien Hsi-An
;
Peng Zhen-Yu
;
Wu Yun-Ru
;
Wang Ting-Hsiung
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
100.
Coupling TDM NoC and DRAM controller for cost and performance optimization of real-time systems
机译:
耦合TDM NoC和DRAM控制器以优化实时系统的成本和性能
作者:
Dev Gomony Manil
;
Akesson Benny
;
Goossens Kees
会议名称:
《2014 Design, Automation amp; Test in Europe Conference and Exhibition》
|
2014年
意见反馈
回到顶部
回到首页