首页> 外文期刊>ACM SIGPLAN Notices: A Monthly Publication of the Special Interest Group on Programming Languages >PicoServer: Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor
【24h】

PicoServer: Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

机译:PicoServer:使用3D堆栈技术实现紧凑型节能芯片多处理器

获取原文
获取原文并翻译 | 示例
获取外文期刊封面目录资料

摘要

In this paper, we show how 3D stacking technology can be used to implement a simple, low-power, high-performance chip multiprocessor suitable for throughput processing. Our proposed architecture, PicoServer, employs 3D technology to bond one die containing several simple slow processing cores to multiple DRAM dies sufficient for a primary memory. The 3D technology also enables wide low-latency buses between processors and memory. These remove the need for an L2 cache allowing its area to be re-allocated to additional simple cores. The additional cores allow the clock frequency to be lowered without impairing throughput. Lower clock frequency in turn reduces power and means that thermal constraints, a concern with 3D stacking, are easily satisfied.
机译:在本文中,我们展示了如何使用3D堆栈技术来实现适用于吞吐量处理的简单,低功耗,高性能芯片多处理器。我们提出的架构PicoServer采用3D技术,将包含几个简单的慢速处理内核的一个裸片绑定到足以构成主存储器的多个DRAM裸片上。 3D技术还支持处理器与内存之间的低延迟总线。这些消除了对L2缓存的需求,从而允许将其区域重新分配给其他简单核心。附加内核可降低时钟频率,而不会影响吞吐量。较低的时钟频率反过来会降低功耗,并且意味着可以轻松满足3D堆叠问题的散热要求。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号