首页> 外文期刊>ACM Transactions on Design Automation of Electronic Systems >Circuit Optimization Techniques to Mitigate the Effects of Soft Errors in Combinational Logic
【24h】

Circuit Optimization Techniques to Mitigate the Effects of Soft Errors in Combinational Logic

机译:缓解组合逻辑中软错误影响的电路优化技术

获取原文
获取原文并翻译 | 示例
           

摘要

Soft errors in combinational logic circuits are emerging as a significant reliability problem for VLSI designs. Technology scaling trends indicate that the soft error rates (SER) of logic circuits will be dominant factor for future technology generations. SER mitigation in logic can be accomplished by optimizing either the gates inside a logic block or the flipflops present on the block boundaries. We present novel circuit optimization techniques that target these elements separately as well as in unison to reduce the SER of combinational logic circuits. First, we describe the construction of a new class of flip-flop variants that leverage the effect of temporal masking by selectively increasing the length of the latching window thereby preventing faulty transients from being registered. In contrast to previous flip-flop designs that rely on logic duplication and complicated circuit design styles, the new variants are redesigned from the library flip-flop using efficient transistor sizing. We then propose a flip-flop selection method that uses slack information at each primary output node to determine the flip-flop configuration that produces maximum SER savings. Next, we propose a gate sizing algorithm that trades off SER reduction and area overhead. This approach first computes bounds on the maximum achievable SER reduction by resizing a gate. This bound is then used to prune the circuit graph, arriving at a smaller set of candidate gates on which we perform incremental sensitivity computations to determine the gates that are the largest contributors to circuit SER. Third, we propose a unified, co-optimization approach combining flip-flop selection with the gate sizing algorithm. The joint optimization algorithm produces larger SER reductions while incurring smaller circuit overhead than either technique taken in isolation. Experimental results on a variety of benchmarks show average SER reductions of 10.7X with gate sizing, 5.7X with flip-flop assignment, and 30.1X for the combined optimization approach, with no delay penalties and area overheads within 5-6%. The runtimes for the optimization algorithms are on the order of 1-3 minutes.
机译:组合逻辑电路中的软错误正在成为VLSI设计的重要可靠性问题。技术的发展趋势表明,逻辑电路的软错误率(SER)将成为下一代技术的主导因素。逻辑上的SER缓解可以通过优化逻辑块内的门或块边界上存在的触发器来实现。我们提出了新颖的电路优化技术,这些技术分别针对这些元件以及一致地降低了组合逻辑电路的SER。首先,我们描述了新型的触发器变体的构造,该类触发器通过有选择地增加闩锁窗口的长度,从而防止错误的瞬态被记录,从而利用了时间屏蔽的作用。与以前的依赖逻辑复制和复杂电路设计风格的触发器设计相比,新的变体采用高效的晶体管尺寸从库触发器中重新设计。然后,我们提出一种触发器选择方法,该方法在每个主输出节点上使用松弛信息来确定产生最大SER节省的触发器配置。接下来,我们提出一种权衡门大小的算法,该算法需要权衡SER减少和面积开销。该方法首先通过调整门的大小来计算最大可实现SER减少的界限。然后使用此界限来修剪电路图,得出较小的一组候选门,在这些候选门上我们执行增量灵敏度计算,以确定对电路SER贡献最大的门。第三,我们提出了一种统一的,共同优化的方法,该方法将触发器选择与门大小调整算法结合在一起。与隔离中采用的任何一种技术相比,联合优化算法可产生更大的SER减少量,同时减少电路开销。在各种基准上的实验结果表明,采用门控尺寸时,平均SER降低了10.7倍;使用触发器分配时,平均SER降低了5.7倍;对于组合优化方法,其平均SER降低了30.1倍,并且没有延迟损失,并且面积开销在5%到6%之内。优化算法的运行时间约为1-3分钟。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号