首页> 外文期刊>Journal of Physics, D. Applied Physics: A Europhysics Journal >Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate
【24h】

Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

机译:使用SiO2原子层蚀刻方法研究从Si基材去除薄氧化物层:基板反应性的重要性

获取原文
获取原文并翻译 | 示例
           

摘要

The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy ( 40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H-2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 angstrom of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the angstrom ngstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO2 layer is prevented by the high reactivity of low energy Ar+ ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O-2 process conditions.
机译:描述了对来自Si基板的天然氧化物表面去除的等离子体基原子层蚀刻(ALE)方法的评价。目的包括除去天然氧化物,同时最小化底物损伤,表面残留物和基材损失。使用原位椭圆形测量氧化物厚度测量,通过X射线光电子谱分析表面化学。当用于从Si衬底中除去天然氧化物SiO 2时的环形叠层方法在所需的程度上没有去除天然氧化物。这是由于硅衬底在循环叠层方法的低能量(& 40eV)离子轰击阶段的高反应性,这导致硅表面的再氧化。使用连续偏置Ar等离子体具有周期性CF4注射的修饰过程,从Si表面取得了显着的氧气,具有一些残留的碳和氟。随后的H-2 / AR等离子体暴露在钝化硅表面时成功地除去残留的碳和氟。与接收的硅表面相比,组合处理将氧气和碳水平降至约一半。该过程序列的缺点是Si的约40埃的净损失。对于该工作的通用洞察力是基板和最终表面化学的重要性,除了用于ALE工艺的目标膜的精确蚀刻控制之外。通过基于氟碳的ALE技术,可以精确地从惰性衬底精确地执行在埃克斯特罗斯NGSTROM水平上的薄SiO2层去除。厚的SiO2层。然而,通过类似于Si的反应基板,通过低能量Ar +离子的高反应性防止薄SiO2层的完全除去。在ALE离子轰击蚀刻步骤期间,Si表面可再氧化,即使对于非常干净和超低的O-2工艺条件。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号