掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Conference on Photomask Technology
Conference on Photomask Technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Single Pass Die to Database Tritone Reticle Inspection Capability
机译:
单程模具数据库TRITONE掩模版检查能力
作者:
Bryan Reese
;
Jan Heumann
;
Norbert Schmidt
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
tritone;
reticle;
database;
inspection;
2.
Evaluation of Bi-layer TaSix Absorber on Buffer for EUV Mask
机译:
EUV掩模缓冲器上的双层TASIX吸收剂的评价
作者:
Koichiro Kanayama
;
Shinpei Tamura
;
Yasushi Nishiyama
;
Masashi Kawashita
;
Tadashi Matsuo
;
Akira Tamura
;
Susumu Nagashige
;
Kenji Hiruma
;
Doohoon Goo
;
Iwao Nishiyama
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
EUV;
mask;
blanks;
absorber;
buffer;
capping;
repair;
printing;
3.
Novel cleaning techniques to achieve defect-free photomasks for sub-65nm nodes
机译:
用于实现Sub-65nm节点的无缺陷光掩模的新型清洁技术
作者:
Jin Ho Ryu
;
Dong Wook Lee
;
Ji Sun Ryu
;
Sang Pyo Kim
;
Oscar Han
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
haze;
ozonated water (DIO_3);
UV/O_3 treatment;
after-development clean (ADC);
4.
Mask Specification for Wafer Process Optimization
机译:
晶片过程优化的掩模规范
作者:
Lin Chen
;
Phil Freiberger
;
Jeff Farnsworth
;
Ruth Stritsman
;
Richard P Rodrigues
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
mask integration;
specification;
5.
Experimental Verification of Finite Element Model Prediction of EUVL Mask Flatness during Electrostatic Chucking
机译:
静电夹持过程中EUVL掩模平坦度的有限元模型预测的实验验证
作者:
Madhura Nataraju
;
Jaewoong Sohn
;
Andrew. R. Mikkelson
;
Kevin. T. Turner
;
Roxann. L. Engelstad
;
Chris. K. Van Peski
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
extreme ultraviolet lithography;
electrostatic chucking;
mask flatness;
finite element analysis;
experimental analysis;
6.
Combined Resist And Etch Modeling And Correction For The 45nm Node
机译:
45nm节点的组合抗蚀剂和蚀刻建模和校正
作者:
Martin Drapeau
;
Dan Beale
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
OPC;
resist modeling;
staged modeling;
etch modeling;
model accuracy;
rules etch correction;
45nm node;
7.
PAB and PEB Temperature Gradient Methodology for CAR Optimization
机译:
汽车优化的PAB和PEB温度梯度方法
作者:
Thuc H. Dam
;
Andrew Jamieson
;
Maiying Lu
;
Ki-Ho Baik
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
photomask;
coating;
resist;
CAR;
PAB;
PEB;
8.
Real-time ultra-sensitive ambient ammonia monitor for advanced lithography
机译:
高级光刻的实时超敏感环境氨监测
作者:
Eric Crosson
;
Katsumi Nishimura
;
Yuhei Sakaguchi
;
Chris W. Rella
;
Edward Wahl
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
ammonia;
trace gas analyzer;
photolithography;
ion chromatography;
haze;
photoresist;
CRDS;
NH3;
9.
Finding the needle in the haystack: Using full-chip process window analysis to qualify competing SRAF placement strategies for 65 nm
机译:
在Haystack中找到针:使用全芯片过程窗口分析,以获得65纳米的竞争SRAF放置策略
作者:
Mark Mason
;
Shane Best
;
Gary Zhang
;
Mark Terry
;
Robert Soper
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
10.
Precise and high throughput femtopulse laser mask repair of large defects
机译:
精确和高吞吐量Femtupulese激光面膜修复大缺陷
作者:
Roy White
;
Jeff LeClaire
;
Tod Robinson
;
Andrew Dinsdale
;
Ron Bozak
;
David A. Lee
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
mask repair;
laser;
femtosecond pulse;
DUV microscopy;
large defect;
high throughput;
11.
Process results using automatic pitch decomposition and double patterning technology (DPT) at k1eff <0.20
机译:
在K1eff <0.20时使用自动间距分解和双图案化技术(DPT)的过程结果
作者:
Judy Huckabay
;
Wolf Staud
;
Robert Naber
;
Anton van Oosten
;
Peter Nikolski
;
Stephen Hsu
;
R. J. Socha
;
M. V. Dusa
;
Donis Flagello
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
double exposure lithography;
hyper NA;
image decomposition;
effective k_1lt;
0.2;
strong RET;
DPT;
double patterning technology;
12.
A Model to Predict the Critical Velocity for Liquid Loss from a Receding Meniscus
机译:
预测液体损失的临界速度的模型
作者:
Timothy A. Shedd
;
Scott D. Schuetter
;
Gregory F. Nellis
;
Chris K. Van Peski
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
immersion lithography;
dynamic contact angle;
static contact angle;
film pulling;
critical velocity model;
13.
Application of DoseMapper for 65nm Gate CD Control: Strategies and Results
机译:
剂量造酋应用于65nm门CD控制:策略和结果
作者:
Nazneen Jeewakhan
;
Nader Shamma
;
Sang-Jun Choi
;
Roque Alvarez
;
D.H. Son
;
Makoto Nakamura
;
Vinny Pici
;
Jim Schreiber
;
Wei-shun Tzeng
;
Sean Ang
;
Daniel Park
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
wafer CD control;
dosemapper;
mask CD control;
193nm lithography;
65nm technology;
MEEf;
14.
Inverse Lithography Technology at Low k1: Placement and Accuracy of Assist Features
机译:
低K1的逆光刻技术:辅助功能的放置和准确性
作者:
Andrew Moore
;
Timothy Lin
;
Yong Liu
;
Gordon Russell
;
Linyong Pang
;
Daniel Abrams
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
inverse lithography technology;
ILT;
OPC;
RET;
SRAf;
15.
A New Critical Dimension Metrology for Chrome-on-Glass Substrates Based on S-Parameter Measurements Extracted from Coplanar Waveguide Test Structures
机译:
基于COPLANAR波导试验结构提取的S参数测量的铬玻璃基板的新关键尺寸计量
作者:
Chidubem A. Nwokoye
;
Mona Zaghloul
;
Michael W. Cresswell
;
Richard A. Allen
;
Christine E. Murabito
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
photomask;
CD metrology;
S-parameters;
co-planar waveguide;
test structure;
16.
Measuring Force Uniformity during Electrostatic Chucking of EUVL Masks
机译:
测量EUVL面具静电夹持期间的力均匀性
作者:
Jaewoong Sohn
;
Sathish Veeraraghavan
;
Kevin T. Turner
;
Roxann L. Engelstad
;
Chris K. Van Peski
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
EUV lithography;
electrostatic chucking;
finite element analysis;
17.
The study of Chromeless Phase Lithography (CPL) for 45nm lithography
机译:
45nm光刻的无晶态光刻(CPL)的研究
作者:
Soon Yoeng Tan
;
Qunying Lin
;
Cho Jui Tay
;
Chenggen Quan
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
CPL;
MEEF;
Phase;
chromeless;
CD;
18.
Mastering double exposure process window aware OPC by means of virtual targets
机译:
掌握双曝光过程窗口感知OPC通过虚拟目标
作者:
Henning Haffner
;
Zachary Baum
;
Carlos Fonseca
;
Scott Halle
;
Lars Liebmann
;
Arpan Mahorowala
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
optical proximity correction (OPC);
process window (PW);
double exposure (DE);
alternating phase-shift mask (altPSM);
OPC flow;
rules-based;
model-based;
critical dimension (CD);
virtual OPC target;
19.
Advanced manufacturing rules check (MRC) for fully-automated assessment of complex reticle designs - Part Ⅱ
机译:
先进的制造规则检查(MRC)用于复杂掩盖设计的全自动评估 - 第Ⅱ部分
作者:
J.A. Straub
;
D. Aguilar
;
P.D. Buck
;
D. Dawkins
;
R.Gladhill
;
S. Nolke
;
J. Riddick
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
manufacturing rules check;
MRC;
mask data inspection;
mask data preparation;
defect inspection;
design for manufacturing;
20.
PMJ 2006 Panel Discussion Review 'Mask Technologies for EUVL'
机译:
PMJ 2006小组讨论审查'EUVL的掩码技术
作者:
Minoru Sugawara
;
Hisatake Sano
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
EUV;
lithography;
mask;
blank;
flatness;
defect;
repair;
chuck;
carrier;
pod;
21.
The Automatic Back-Check Mechanism of Mask Tooling Database and Automatic Transmission of Mask Tooling Data
机译:
掩模工具数据库的自动反校验机制以及掩模工具数据的自动传输
作者:
Zhe Xu
;
M G Peng
;
Lin Hsin Tu
;
Cedric Lee
;
J K Lin
;
Jian Feng Jan
;
Alb Yin
;
Pei Wang
;
He Jian
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
cadence K2 mask compose;
K2, e-frame data management system;
eFDMS;
automatic back-check;
automatic transmission;
mask tooling;
22.
High-resolution Mask Inspection in Advanced Fab
机译:
高分辨率掩模检查在高级工厂
作者:
Stephanie Maelzer
;
Andre Poock
;
Bryan Reese
;
Kaustuve Bhattacharyya
;
Farzin Mirzaagha
;
Stephen Cox
;
Michael Lang
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
STARlightamp;
#8482;
mask defects;
inspection;
crystal growth;
progressive;
lithography;
process window;
23.
Predicting the Influence of Trapped Particles on EUVL Reticle Distortion during Exposure Chucking
机译:
预测捕获粒子对曝光夹持过程中EUVL掩模版失真的影响
作者:
Vasu Ramaswamy
;
Kevin T. Turner
;
Roxann L. Engelstad
;
Edward G. Lovell
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
EUV lithography;
reticle distortion;
image placement errors;
particle contamination;
FE analysis;
24.
45-32nm node photomask technology with water immersion lithography
机译:
45-32NM节点光掩模技术,具有水浸入光刻
作者:
Takashi Adachi
;
Yuichi Inazuki
;
Takanori Sutou
;
Yasutaka Morikawa
;
Nobuhito Toyama
;
Hiroshi Mohri
;
Naoya Hayashi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
32-nm node;
double exposure;
immersion lithography;
lithography simulation;
25.
Simplified model for absorber feature transmissions on EUV masks
机译:
EUV面罩的吸收器特征传输简化模型
作者:
Michael C. Lam
;
Andrew R. Neureuther
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
26.
Mask Industry Assessment: 2006
机译:
面具行业评估:2006年
作者:
Gilbert Shelden
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
27.
Present Challenges and Solutions in Sampling and Correction for 45 nm
机译:
对45nm的采样和校正的挑战和解决方案
作者:
Ioana Graur
;
Mohamed Al-Imam
;
Pat LaCour
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
OPC;
site sampling;
process window OPC;
28.
Litho-friendly Design (LfD) Methodologies Applied to Library Cells
机译:
型型设计(LFD)方法适用于图书馆细胞
作者:
Kai Peter
;
Reinhard Maerz
;
Sonja Groendahl
;
Wilhelm Maurer
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
DFM;
LfD;
process window analysis;
design flow;
scoring;
printability simulation;
29.
The effect of OPC optical and resist model parameters on the model accuracy, run time, and stability
机译:
OPC光学和抗蚀模型参数对模型精度,运行时和稳定性的影响
作者:
Amr Abdo
;
Rami Fathy
;
Ahmed Seoud
;
James Oberschmidt
;
Scott Mansfield
;
Mohamed Talbi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
model-based OPC;
OPC model accuracy;
OPC model run time;
OPC model stability;
variable threshold resist model;
30.
Efficient approach to improving pattern fidelity with multi OPC model and recipe
机译:
用多功能模型和配方改进模式保真度的有效方法
作者:
Munhoe Do
;
Jaehyun Kang
;
Jaeyoung Choi
;
Junseok Lee
;
Yongsuk Lee
;
Keeho Kim
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
OPC(optical proximity correction);
OPC recipe;
OPC verification;
31.
Adding Grayscale Layer to Chrome Photomasks
机译:
将灰度层添加到Chrome Photomasks
作者:
David K. Poon
;
James M. Dykes
;
Chinheng Choo
;
Jimmy T. K. Tsui
;
Jun Wang
;
Glenn H. Chapman
;
Yuqiang Tu
;
Patrick Reynolds
;
Andrew Zanzal
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
grayscale photomask;
chrome photomask;
analog grayscale photomask;
direct-write photomask;
3d mems;
32.
Multi-layer resist system for 45nm-node and beyond (Ⅲ)
机译:
45nm节点及超越的多层抗蚀剂系统(Ⅲ)
作者:
Yuuki Abe
;
Jumpei Morimoto
;
Toshifumi Yokoyama
;
Atsushi Kominato
;
Yasushi Ohkubo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
45nm-node;
multi-layer resist;
dry-etch;
hard-mask;
33.
The Effects of the Photomask on Multi-Phase Shift Test Monitors
机译:
光掩模对多相移位测试监视器的影响
作者:
Gregory McIntyre
;
Andrew Neureuther
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
mask topography effects;
illumination polarization monitoring;
phase shifting test mask;
chromeless phase lithography (CPL);
optical lithography;
34.
Optical issues of thin organic pellicles in 45nm and 32nm immersion lithography
机译:
45nm和32nm浸入光刻中薄有机颗粒的光学问题
作者:
Kevin Lucas
;
Joseph S. Gordon
;
Will Conley
;
Mazen Saied
;
Scott Warrick
;
Mike Pochkowski
;
Mark D. Smith
;
Craig West
;
Franklin Kalk
;
Jan Pieter Kuijten
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
OPC;
RET;
DRC;
lithography;
design rules;
model-based physical verification;
35.
Application Challenges with Double Patterning Technology (DPT) beyond 45 nm
机译:
双图案技术(DPT)超出45 nm的应用挑战
作者:
Jungchul Park
;
Stephen Hsu
;
Douglas Van Den Broeke
;
J. Fung Chen
;
Mircea Dusa
;
Robert Socha
;
Jo Finders
;
Bert Vleeming
;
Anton van Oosten
;
Peter Nikolsky
;
Vincent Wiaux
;
Eric Hendrickx
;
Joost Bekaert
;
Geert Vandenberghe
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
double patterning technology;
DPT;
double exposure technology;
DET;
such as double dipole lithography;
DDL;
coloring line method (CLN);
coloring space method (CSP);
feature stitching;
mask error factor;
MEF;
model-based OPC;
36.
Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulators
机译:
像素化光掩模和空间光调制器光学光刻能力分析
作者:
Azat Latypov
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
pixelized photomasks;
spatial light modulators;
SLM;
37.
CP mask optimization for enhancing the throughput of MCC systems
机译:
CP掩模优化,用于增强MCC系统吞吐量
作者:
Makoto Sugihara
;
Kenta Nakamura
;
Yusuke Matsunaga
;
Kazuaki Murakami
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
maskless lithography;
multi-column-cells;
character projection;
variable-shaped beam;
throughput;
38.
Wafer Fab Mask Qualification Techniques and Limitations
机译:
晶圆Fab面具资格技术和限制
作者:
Andre Poock
;
Stephanie Maelzer
;
Chris Spence
;
Cyrus Tabery
;
Michael Lang
;
Guido Schnasse
;
Milko Peikert
;
Kaustuve Bhattacharyya
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
mask defects;
mask inspection;
wafer inspection;
disposition;
limitations;
lithography;
39.
Impact of AFM Scan Artifacts on Photolithographic Simulation
机译:
AFM扫描伪影对光刻模拟的影响
作者:
Tod Robinson
;
John Lewellen
;
David A. Lee
;
Peter Brooker
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
40.
Distributed Computing in Mask Data Preparation for 45nm Node and Below
机译:
在掩模数据准备中分布到45nm节点的计算
作者:
Weidong Zhang
;
Emile Sahouria
;
Steffen Schulze
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
RET;
MDP;
DRC;
OPC;
parallel processing;
distributed;
multithreaded;
scalability;
Unix;
Linux;
TAT;
41.
Manufacturing of the first EUV full field Scanner Mask
机译:
制造第一EUV全场扫描仪面膜
作者:
Uwe Dersch
;
Rico Buettner
;
Christian Chovino
;
Steffen Franz
;
Torben Heins
;
Holger Herguth
;
Jan Hendrik Peters
;
Thomas Rode
;
Florian Letzkus
;
Joerg Butschke
;
Mathias Irmscher
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
EUV;
NGL;
pilot line;
process integration;
patterning;
process capability;
etch;
42.
Advanced femtosecond DUV laser mask repair tool for large area photomasks
机译:
用于大面积光掩模的先进的Femtosecond Duv激光面膜修复工具
作者:
Leon Treyger
;
Jon Heyl
;
Michael Fink
;
Iztok Koren
;
Yonggang Li
;
Donald Ronning
;
Farrell Small
;
Bin Xian
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Photomask Technology》
|
2006年
关键词:
LCD photomask repair;
DUV femtosecond laser;
defect;
gantry;
ablation;
CVD;
43.
Mask Protection from a haze while shipping and storage
机译:
运输和储存时,掩模免受阴霾的保护
作者:
T. Umeda
;
Musashino-shi
;
H. Kawashima
;
Shijyuku Shijyuku-ku
;
T. Miho
;
Toshima-ku
;
K. Moriya
;
Iwamoto-cho
;
Chiyosa-ku
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
absorbent;
storage;
shipping;
haze;
chemical tight;
ammonium sulfate;
chemical filter;
44.
Repairing 45 nm Node Defects through Nano-machining
机译:
通过纳米加工修复45 nm节点缺陷
作者:
Roy White
;
Andrew Dinsdale
;
Tod Robinson
;
David Brinkley
;
Jeffrey Csuy
;
David Lee
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Nanomachining and Photomask Repair;
45.
Correction technique of EBM-6000 prepared for EUV mask writing
机译:
EUV掩模写作为EBM-6000进行了校正技术
作者:
Shusuke Yoshitake
;
Hitoshi Sunaoshi
;
Jun Yashima
;
Shuichi Tamamushi
;
Munehiro Ogasawara
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
EUV lithography;
electro-static chuck;
image placement accuracy;
EB mask writer;
OBBTC;
46.
Repairing 45 nm Node Defects through Nano-machining
机译:
通过纳米加工修复45 nm节点缺陷
作者:
Roy White
;
Andrew Dinsdale
;
Tod Robinson
;
David Brinkley
;
Jeffrey Csuy
;
David Lee
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
nanomachining and photomask repair;
47.
Investigation of Airborne Molecular Contamination Adsorption Rate as Storage Materials in Mask
机译:
掩盖中储存材料的空气传播分子污染吸附率调查
作者:
Chul-Kyu Yang
;
Han-Sun Cha
;
Sin-Ju Yang
;
Ju-Hyun Kang
;
Jin-Ho Ahn
;
Kee-Soo Nam
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
mask blanks;
haze;
chemical residue;
storage materials;
layer;
AMC;
ion;
aromatic hydrocarbon;
48.
Advanced Mask Particle Cleaning Solutions
机译:
先进的掩模颗粒清洁解决方案
作者:
Tod Robinson
;
Andrew Dinsdale
;
Ron Bozak
;
Bernie Arruza
会议名称:
《Conference on Photomask Technology》
|
2007年
49.
Techniques to Measure Force Uniformity of Electrostatic Chucks for EUV Mask Clamping
机译:
用于测量EUV掩模夹紧静电卡盘的力均匀性的技术
作者:
Sathish Veeraraghavan
;
Jaewoong Sohn
;
Kevin T. Turner
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
EUV Lithography;
Electrostatic Chucking;
Finite Element Analysis;
50.
Sensitivity comparison of Fast Integrated Die-to-Die T+R pattern inspection, standard database inspection and STAR light2~TM contamination mode for application in mask production
机译:
快速集成模芯T + R图案检测,标准数据库检测和星光2〜TM污染模式的敏感性比较应用
作者:
Heiko Schmalfuss
;
Thomas Schulmeyer
;
Jan Heumann
;
Michael Lang
;
Jean-Paul Sier
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
fast integrated die-to-die T+R pattern inspection;
contamination inspection;
database inspection;
AIMS;
51.
Correction technique of EBM-6000 prepared for EUV mask writing
机译:
EUV掩模写作为EBM-6000进行了校正技术
作者:
Shusuke Yoshitake
;
Hitoshi Sunaoshi
;
Jun Yashima
;
Shuichi Tamamushi
;
Munehiro Ogasawara
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
EUV lithography;
electro-static chuck;
image placement accuracy;
EB mask writer;
OBBTC;
52.
Full sulfate-free process: joint achievement of minimal residual ions and yield improvement
机译:
无硫酸盐过程:联合成果最小的残留离子和产量改善
作者:
Francesca Perissinotti
;
Luca Sartelli
;
Davide Cassago
;
Hiroyuki Miyashita
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
sulfate free;
cleaning;
surface treatment;
residual ions;
organic residues;
ozone;
UV;
53.
Measuring and Characterizing the Nonflatness of EUVL Reticles and Electrostatic Chucks
机译:
测量和表征EUVL晶粒的非污夹的非污物
作者:
Roxann L. Engelstad
;
Kevin T. Turner
;
Madhura Nataraju
;
Jaewoong Sohn
;
Andrew R. Mikkelson
;
Venkata Siva Battula
;
Pradeep Vukkadala
;
Jacob R. Zeuske
;
Chris K. Van Peski
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Extreme ultraviolet lithography (EUVL);
EUVL masks;
mask flatness;
SEMI Standards P37 and P40;
54.
EMF Simulations of Isolated and Periodic 3D Photomask Patterns
机译:
隔离和周期3D光掩模模式的EMF模拟
作者:
Sven Burger
;
Lin Zschiedrich
;
Prank Schmidt
;
Roderick Koehle
;
Bernd Kuechler
;
Christoph Noelscher
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
3D EMF simulations;
microlithography;
adaptive high-order finite-element method;
FEM;
55.
Accurate Lithography Analysis for Yield Prediction
机译:
屈服预测准确的光刻分析
作者:
Greg Yeric
;
Babak Hatamian
;
Rahul Kapoor
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
design yield;
lithography analysis;
DFM;
56.
Development of a captured image simulator for the Differential Interference Contrast microscopes aiming to design 199 nm mask inspection tools
机译:
开发捕获图像模拟器的差分干扰对比显微镜,旨在设计199 nm面罩检查工具
作者:
Masataka Shiratsuchi
;
Yoshinori Honguh
;
Ryoichi Hirano
;
Riki Ogawa
;
Masatoshi Hirono
;
Takehiko Nomura
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
mask inspection;
captured image profile simulation;
phase shifting mask;
differential interference contrast microscope;
wollaston prism;
birefringent;
rigorous coupled wave analysis;
57.
A practical solution to the critical problem of 193 nm reticle haze
机译:
193纳米掩盖阴霾的关键问题的实际解决方案
作者:
Oleg Kishkovich
;
Dave Halbmaier
;
Xavier Gabarre
;
Brian Grenon
;
James Lo
;
Andy Lam
;
Tom Chen
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
yield improvement;
optics contamination control;
haze formation;
XCDA;
ammonium sulfate crystal growth;
58.
The effect of the OPC parameters on the performance of the OPC model
机译:
OPC参数对OPC模型性能的影响
作者:
Amr Abdo
;
Ahmed Seoud
;
Alexander Wei
;
Ian Stobert
;
Alan Leslie
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
model based optical proximity correction;
OPC setup parameters;
OPC model performance;
59.
3D Mask modeling with Oblique incidence and Mask Corner rounding effects for the 32nm node
机译:
3D掩模建模与斜发射和面具角落围绕32nm节点的舍入效果
作者:
Mazen Saied
;
Franck Foussadier
;
Jerome Belledent
;
Yorick Trouiller
;
Isabelle Schanen
;
Emek Yesilada
;
Christian Gardin
;
Jean Christophe Urbani
;
Frank Sundermann
;
Frederic Robert
;
Christophe Couderc
;
Florent Vautrin
;
Laurent LeCam
;
Gurwan Kerrien
;
Jonathan
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
oblique incidence;
normal incidence;
off-axis illumination;
abbe formulation;
hopkins;
corner rounding;
OPC modeling;
60.
A semi-automated AFM photomask repair process for manufacturing application using SPR6300
机译:
使用SPR6300制造应用的半自动AFM光掩模修复过程
作者:
Mario Dellagiovanna
;
Hidenori Yoshioka
;
Hiroyuki Miyashita
;
Shiaki Murai
;
Takuya Nakaue
;
Osamu Takaoka
;
Atsushi Uemoto
;
Syuichi Kikuchi
;
Ryoji Hagiwara
;
Stephane Benard
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
photomask repair;
Nanomachining;
Atomic Force Microscopy;
61.
Techniques to Measure Force Uniformity of Electrostatic Chucks for EUV Mask Clamping
机译:
用于测量EUV掩模夹紧静电卡盘的力均匀性的技术
作者:
Sathish Veeraraghavan
;
Jaewoong Sohn
;
Kevin T. Turner
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
EUV lithography;
electrostatic chucking;
finite element analysis;
62.
Modeling Scanner Signatures in the Context of OPC
机译:
在OPC背景下建模扫描仪签名
作者:
Qiaolin (Charlie) Zhang
;
Jacek K. Tyminski
;
Kevin Lucas
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
optical proximity correction (OPC);
OPC modeling;
optical proximity effect (OPE);
scanner systematic signatures;
illuminator pupil-fill;
lens aberration;
lens apodization;
flare;
63.
Alternating Phase-Shift Mask and Binary Mask for 45-nm Node and Beyond: The Impact on the Mask Error Control
机译:
用于45-NM节点的交替相移掩模和二进制掩码:对掩模错误控制的影响
作者:
Yosuke Kojima
;
Masanori Shirasaki
;
Kazuaki Chiba
;
Tsuyoshi Tanaka
;
Yukio Inazuki
;
Hiroki Yoshikawa
;
Satoshi Okazaki
;
Kazuya Iwase
;
Kiichi Ishikawa
;
Ken Ozawa
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
resolution enhancement technologies;
alternating phase-shift mask;
binary mask;
immersion lithography;
AIMS;
64.
Compensating for Image Placement Errors Induced during the Fabrication and Chucking of EUVL Masks
机译:
补偿在制造过程中诱导的图像放置误差和堵塞EUVL掩模
作者:
Roxann L. Engelstad
;
Jaewoong Sohn
;
Andrew R. Mikkelson
;
Madhura Nataraju
;
Kevin T. Turner
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Extreme ultraviolet lithography;
mask distortions;
image placement errors;
finite element analysis;
65.
EMF Simulations of Isolated and Periodic 3D Photomask Patterns
机译:
隔离和周期3D光掩模模式的EMF模拟
作者:
Sven Burger
;
Lin Zschiedrich
;
Frank Schmidt
;
Roderick Kohle
;
Bernd Kuchler
;
Christoph Nolscher
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
3D EMF simulations;
microlithography;
adaptive high-order finite-element method;
FEM;
66.
Critical Dimension Control for 32 nm Node Random Contact Hole Array with Resist Reflow Process
机译:
具有抗蚀剂回流过程的32个NM节点随机接触孔阵列的关键尺寸控制
作者:
Joon-Min Park
;
Young-Min Kang
;
Seung-Wook Park
;
Joo-Yoo Hong
;
Hye-Keun Oh
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
resist reflow process;
optical proximity correction;
contact hole;
viscosity;
bulk effect;
67.
Mask Protection from a haze while shipping and storage
机译:
运输和储存时,掩模免受阴霾的保护
作者:
T. Umeda
;
H. Kawashima
;
T. Miho
;
K. Moriya
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
absorbent;
storage;
shipping;
haze;
chemical tight;
ammonium sulfate;
chemical filter;
68.
Measuring and Characterizing the Nonflatness of EUVL Reticles and Electrostatic Chucks
机译:
测量和表征EUVL晶粒的非污夹的非污物
作者:
Roxann L. Engelstad
;
Kevin T. Turner
;
Madhura Nataraju
;
Jaewoong Sohn
;
Andrew R. Mikkelson
;
Venkata Siva Battula
;
Pradeep Vukkadala
;
Jacob R. Zeuske
;
Chris K. Van Peski
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
extreme ultraviolet lithography (EUVL);
EUVL masks;
mask flatness;
SEMI standards P37 and P40;
69.
Polarization Aberration Modeling via Jones Matrix in the Context of OPC
机译:
在OPC背景下通过Jones矩阵进行极化像差模拟
作者:
Qiaolin (Charlie) Zhang
;
Hua Song
;
Kevin Lucas
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
polarization aberration;
optical proximity correction (OPC);
optical proximity effect (OPE);
jones pupil;
jones matrix;
optical model accuracy;
70.
UV-NIL template for the 22nm node and beyond
机译:
22nm节点和超越的UV-NIL模板
作者:
Takaaki Hiraka
;
Satoshi Yusa
;
Akiko Fujii
;
Shiho Sasaki
;
Kimio Itoh
;
Nobuhito Toyama
;
Masaaki Kurihara
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
NIL;
template;
resolution;
71.
The effect of the OPC parameters on the performance of the OPC model
机译:
OPC参数对OPC模型性能的影响
作者:
Amr Abdo
;
Ahmed Seoud
;
Alexander Wei
;
Ian Stobert
;
Alan Leslie
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Model Based Optical Proximity Correction;
OPC setup parameters;
OPC model performance;
72.
Alternating Phase-Shift Mask and Binary Mask for 45-nm Node and Beyond: The Impact on the Mask Error Control
机译:
用于45-NM节点的交替相移掩模和二进制掩码:对掩模错误控制的影响
作者:
Yosuke Kojima
;
Masanori Shirasak
;
Kazuaki Chiba
;
Tsuyoshi Tanaka
;
Yukio Inazuki
;
Hiroki Yoshikawa
;
Satoshi Okazaki
;
Kazuya Iwase
;
Kiichi Ishikawa
;
Ken Ozawa
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Resolution enhancement technologies;
Alternating phase-shift mask;
Binary mask;
Immersion lithography;
AIMS;
73.
Advanced Mask Particle Cleaning Solutions
机译:
先进的掩模颗粒清洁解决方案
作者:
Tod Robinson
;
Andrew Dinsdale
;
Ron Bozak
;
Bernie Arruza
会议名称:
《Conference on Photomask Technology》
|
2007年
74.
Improving HyperNA OPC using targeted measurements for model parameter extraction
机译:
使用针对模型参数提取的有针对性测量改进的HydRA OPC
作者:
Brian S. Ward
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
hyper NA lithography;
OPC;
model calibration;
75.
Accurate lithography analysis for yield prediction
机译:
屈服预测准确的光刻分析
作者:
Greg Yeric
;
Babak Hatamian
;
Rahul Kapoor
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Index Terms-Design Yield;
Lithography Analysis;
DFM;
76.
Development of a captured image simulator for the Differential Interference Contrast microscopes aiming to design 199 nm mask inspection tools
机译:
开发捕获图像模拟器的差分干扰对比显微镜,旨在设计199 nm面罩检查工具
作者:
Masataka Shiratsuchi
;
Yoshinori Honguh
;
Ryoichi Hirano
;
Riki Ogawa
;
Masatoshi Hirono
;
Takehiko Nomura
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Mask inspection;
captured image profile simulation;
phase shifting mask;
differential interference contrast microscope;
Wollaston prism;
birefringent;
rigorous coupled wave analysis;
77.
Critical Dimension Control for 32 nm Node Random Contact Hole Array with Resist Reflow Process
机译:
具有抗蚀剂回流过程的32个NM节点随机接触孔阵列的关键尺寸控制
作者:
Joon-Min Park
;
Young-Min Kang
;
Seung-Wook Park
;
Joo-Yoo Hong
;
Hye-Keun Oh
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
resist reflow process;
optical proximity correction;
contact hole;
viscosity;
bulk effect;
78.
3D Mask modeling with Oblique incidence and Mask Corner rounding effects for the 32nm node
机译:
3D掩模建模与斜发射和面具角落围绕32nm节点的舍入效果
作者:
Mazen Saied
;
Franck Foussadier
;
Jerome Belledent
;
Yorick Trouiller
;
Isabelle Scbanen
;
Emek Yesilada
;
Christian Gardin
;
Jean Christophe Urbani
;
Frank Sundermann
;
Frederic Robert
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Oblique incidence;
normal incidence;
off-axis illumination;
Abbe formulation;
Hopkins;
corner rounding;
OPC modeling;
79.
Full sulfate-free process: joint achievement of minimal residual ions and yield improvement
机译:
无硫酸盐过程:联合成果最小的残留离子和产量改善
作者:
Francesca Perissinotti
;
Luca Sartelli
;
Davide Cassago
;
Hiroyuki Miyashita
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
sulfate free;
cleaning;
surface treatment;
residual ions;
organic residues;
ozone;
UV;
80.
UV-NIL template for the 22nm node and beyond
机译:
22nm节点和超越的UV-NIL模板
作者:
Takaaki Hiraka
;
Satoshi Yusa
;
Akiko Fujii
;
Shiho Sasaki
;
Kimio Itoh
;
Nobuhito Toyama
;
Masaaki Kurihara
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
NIL;
template;
resolution;
81.
Compensating for Image Placement Errors Induced during the Fabrication and Chucking of EUVL Masks
机译:
补偿在制造过程中诱导的图像放置误差和堵塞EUVL掩模
作者:
Roxann L. Engelstad
;
Jaewoong Sohn
;
Andrew R. Mikkelson
;
Madhura Nataraju
;
Kevin T. Turner
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
extreme ultraviolet lithography;
mask distortions;
image placement errors;
finite element analysis;
82.
A practical solution to the critical problem of 193 nm reticle haze
机译:
193纳米掩盖阴霾的关键问题的实际解决方案
作者:
Oleg Kishkovich
;
Dave Halbmaier
;
Xavier Gabarre
;
Brian Grenon
;
James Lo
;
Andy Lam
;
Tom Chen
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
yield improvement;
optics contamination control;
haze formation;
XCDA;
ammonium sulfate crystal growth;
83.
Full-chip Process Window aware OPC capability assessment
机译:
全芯片过程窗口感知OPC功能评估
作者:
Robert Lugg
;
Matt StJohn
;
Yunqiang Zhang
;
Amy Yang
;
Paul van Adrichem
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
OPC process window;
process window aware OPC;
layout DoE;
84.
Sensitivity comparison of Fast Integrated Die-to-Die T+R pattern inspection, standard database inspection and STARlight2? contamination mode for application in mask production
机译:
快速集成模具T + R图案检查,标准数据库检测和星光2的敏感性比较吗?掩盖生产中应用的污染模式
作者:
Heiko Schmalfuss
;
Thomas Schulmeyer
;
Jan Heumann
;
Michael Lang
;
Jean-Paul Sier
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Fast Integrated die-to-die T+R pattern inspection;
contamination inspection;
database inspection;
AIMS;
85.
Improving the Efficiency of Pattern Extraction for Character Projection Lithography using OPC optimization
机译:
采用OPC优化提高人物投影光刻图案提取效率
作者:
Hirokazu Nosato
;
Tetsuaki Matsunawa
;
Hidenori Sakanashi
;
Masahiro Murakawa
;
Testuya Higuchi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
OPC (Optical Proximity Correction);
CP (Character Projection);
Optimization;
Lithography;
EBDW (Electron Beam Direct-Write);
86.
Full-chip Process Window aware OPC capability assessment
机译:
全芯片过程窗口感知OPC功能评估
作者:
Robert Lugg
;
Matt StJohn
;
Yunqiang Zhang
;
Amy Yang
;
Paul van Adrichem
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
OPC Process window;
Process window aware OPC;
layout DoE;
87.
Investigation of Airborne Molecular Contamination Adsorption Rate as Storage Materials in Mask
机译:
掩盖中储存材料的空气传播分子污染吸附率调查
作者:
Chul-Kyu Yang
;
Han-Sun Cha
;
Sin-Ju Yang
;
Ju-Hyun Kang
;
Jin-Ho Ahn
;
Kee-Soo Nam
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
mask blanks;
haze;
chemical residue;
storage materials;
layer;
AMC;
ion;
aromatic hydrocarbon;
88.
Polarization Aberration Modeling via Jones Matrix in the Context of OPC
机译:
在OPC背景下通过Jones矩阵进行极化像差模拟
作者:
Qiaolin (Charlie) Zhang
;
Hua Song
;
Kevin Lucas
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Polarization aberration;
Optical Proximity Correction (OPC);
optical proximity effect (OPE);
Jones pupil;
Jones matrix;
optical model accuracy;
89.
A semi-automated AFM photomask repair process for manufacturing application using SPR6300
机译:
使用SPR6300制造应用的半自动AFM光掩模修复过程
作者:
Mario Dellagiovanna
;
Hidenori Yoshioka
;
Hiroyuki Miyashita
;
Shiaki Murai
;
Takuya Nakaue
;
Osamu Takaoka
;
Atsushi Uemoto
;
Syuichi Kikuchi
;
Ryoji Hagiwara
;
Stephane Benard
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
photomask repair;
nanomachining;
atomic force microscopy;
90.
Improving the Efficiency of Pattern Extraction for Character Projection Lithography using OPC optimization
机译:
采用OPC优化提高人物投影光刻图案提取效率
作者:
Hirokazu Nosato
;
Tetsuaki Matsunawa
;
Hidenori Sakanashi
;
Masahiro Murakawa
;
Testuya Higuchi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
OPC (optical proximity correction);
CP (character projection);
optimization;
lithography;
EBDW (electron beam direct-write);
91.
Improving HyperNA OPC using targeted measurements for model parameter extraction
机译:
使用针对模型参数提取的有针对性测量改进的HydRA OPC
作者:
Brian S. Ward
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
hyper NA lithography;
OPC;
model calibration;
92.
A User-programmable Link between Data Preparation and Mask Manufacturing Equipment
机译:
数据准备和掩模制造设备之间的用户可编程链接
作者:
Weidong Zhang
;
Grant Davis
;
Emile Sahouria
;
Steffen Schulze
;
Mohammed Saad
;
Arae Seyfarth
;
Eric Poortinga
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
metrology;
CD control;
snapshot;
simulation;
aerial image;
metrology data preparation;
measurement;
93.
Laser Shockwave Cleaning of EUV Reticles
机译:
激光冲击波清洗EUV掩模
作者:
N.A. Lammers
;
A. Bleeker
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
Laser;
Shockwave;
Cleaning;
EUV;
Reticles;
94.
The improvement of OPC accuracy and stability by the model parameters' analysis and optimization
机译:
通过模型参数的分析和优化改进OPC精度和稳定性
作者:
No-Young Chung
;
Woon-Hyuk Choi
;
Sung-Ho Lee
;
Sung-Il Kim
;
Sun-Yong Lee
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
laser bandwidth;
source map;
pupil polarization;
focus blur;
sample weight scheme;
95.
A Study of Haze Generation as Thin Film Materials
机译:
雾霾薄膜材料的研究
作者:
Ju-Hyun Kang
;
Han-Sun Cha
;
Sin-Ju Yang
;
Chul-Kyu Yang
;
Jin-Ho Ann
;
Kee-Soo Nam
;
Jong-Min Kim
;
Manish Patil
;
Ik-Bum Hur
;
Sang-Soo Choi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
haze;
ion chromatography;
thin film material;
exposure;
SO42;
96.
Lateral interactions between standard cells using pattern matching
机译:
使用模式匹配的标准单元之间的横向相互作用
作者:
Lynn Tao-Ning Wang
;
Andrew R. Neureuther
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
DfM;
pattern matching;
focus effects;
lateral interactions;
standard cells;
optical image;
image simulation;
97.
Impact of alternative mask stacks on the imaging performance at NA 1.20 and above
机译:
替代掩模堆栈对NA 1.20及以上成像性能的影响
作者:
Vicky Philipsen
;
Kei Mesuda
;
Peter De Bisschop
;
Andreas Erdmann
;
Giuseppe Citarella
;
Peter Evanschitzky
;
Robert Birkner
;
Rigo Richter
;
Thomas Scheriibl
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
mask 3D effects;
hyper-NA lithography;
alternative mask stacks;
rigorous simulations;
wafer evaluation;
98.
Progressive growth and hard defect disposition integrated system For 65nm and 45nm ArF immersion lithography
机译:
渐进的生长和硬缺陷配置综合系统65nm和45nm ARF浸入光刻
作者:
Gek Soon Chua
;
Sia Kim Tan
;
Byoung IL Choi
;
Oi Yin Lee
;
Jeong Soo Kim
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
immersion lithography;
progressive growth defect;
AIMS;
AMDD;
lithocruiser;
programmed defect;
99.
Using the AIMS? 45-193i for hyper-NA imaging applications
机译:
使用目标? 45-193I用于超级成像应用
作者:
Peter De Bisschop
;
Vicky Philipsen
;
Robert Birkner
;
Ute Buttgereit
;
Rigo Richter
;
Thomas Scheriibl
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
AIMS;
Hyper-NA imaging;
vector-effect emulation;
OPC;
100.
Haze generation effect by pellicle and packing box on photomask
机译:
薄膜和填料盒在光掩模上的阴霾生成效果
作者:
Jong-Min Kim
;
Manish Patil
;
Woo-Gun Jeong
;
Ik-Boum Hur
;
Cheol Shin
;
Sung-Mo Jung
;
Moon-Hwan Choi
;
Sang-Soo Choi
会议名称:
《Conference on Photomask Technology》
|
2007年
关键词:
haze;
pellicle;
storage amp;
package material;
box;
outgas;
storage atmosphere;
sulfate free cleaning;
意见反馈
回到顶部
回到首页