掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
团队文献服务
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Optical Microlithography
Conference on Optical Microlithography
召开年:
2020
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
828
条结果
1.
SRAF Placement with Generative Adversarial Network
机译:
与生成的对抗性网络的SRAF展示
作者:
Weilun Ciou
;
Tony Hu
;
YY Tsai
;
Terry Hsuan
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Sub-resolution assist features;
Generative Adversarial Network;
Inverse Lithography Technology;
2.
Optimization of Accurate Resist Kernels Through Convolutional Neural Network
机译:
通过卷积神经网络优化精确抗蚀核
作者:
Yonghwi Kwon
;
Youngsoo Shin
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Resist model;
convolutional neural network (CNN);
3.
Qualification of small alignment mark by on-product overlay performance
机译:
通过产品覆盖性能进行小对齐标记的资格
作者:
Jigang Ma
;
Frans Bijnen
;
Edo Hulsebos
;
Lukasz Macht
;
Sotirios Tsiachris
;
Jin Dai
;
Rob van der Meulen
;
Miao Yu
;
Paul Boecker
;
Jung-Hwan Kim
;
Hyun Kim
;
Gwang-Gon Kim
;
Joon-Seuk Lee
;
Eung-Ryong Oh
;
Hong-Bok Yeon
;
Young-Deuk Kim
;
Seung-Uk Jeong
;
Sang-Ho Lee
;
Chan-Ha Park
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Wafer alignment;
Scribe line;
small mark;
on-product overlay;
W2W;
4.
Innovative dual mark design for alignment verification and process monitoring in advanced lithography
机译:
高级光刻对准验证和过程监控的创新双标设计
作者:
Jia Hung Chang
;
En Chuan Lio
;
Junjin Lin
;
Tang Chun Weng
;
Bill Lin
;
Patrick Lomtscher
;
Martin Freitag
;
Stefan Buhl
;
Hsiao Lin Hsu
;
Rex H. Liu
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Alignment marks;
higher order alignment corrections;
alignment;
process monitoring;
optimization;
sampling;
throughput;
intra-wafer drift;
OVALiS;
5.
The application of a new stochastic search algorithm 'Adam' in inverse lithography technology (ILT) in critical recording head fabrication process
机译:
一种新的随机搜索算法“ADAM”在关键记录头制造过程中的逆光刻技术(ILL)中的应用
作者:
Dan Yu
;
Yi Liu
;
Chuck Hawkinson
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Algorithm development;
computer simulation;
inverse lithography;
optical proximity correction;
deep learning;
Adam;
SGD;
stochastics;
6.
Error Modeling and Accuracy Breakdown in Optical Overlay Metrology for Advanced Nodes
机译:
高级节点光学叠加计量中的误差建模与准确性故障
作者:
Klein Dana
;
Negri Daria
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Overlay (OVL);
on-product-overlay (OPO);
Accuracy;
Sampling;
Intra-field;
scribe line;
Photolithography;
7.
A study on various curvilinear data representations and their impact on mask manufacturing flow
机译:
各种曲线数据表示及其对面膜制造流量的影响研究
作者:
Sayalee Gharat
;
Bhardwaj Durvasula
;
Ravi Pai
;
Peter Buck
;
Sandeep Koranne
;
Alexander Tritchkov
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
ILT;
Curvilinear;
Compact data representation;
8.
Design ULVLED stepper with programmable reflective display panel as mask for multiple process wafer
机译:
使用可编程反射显示面板设计ULVLED步进作为多个过程晶片的掩模
作者:
Jiun-Woei Huang
;
Chih-Kung Lee
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Programmable UVLED array;
ULVLED stepper;
programmable reflective LCoS;
binary lens;
sensors in wafer;
9.
Effective data sampling techniques for machine learning OPC in full chip production
机译:
全芯片生产中机器学习opc的有效数据采样技术
作者:
Hesham Abdelghany
;
Kevin Hooker
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
ML-OPC;
DUV;
EUV;
Data sampling;
Data clustering;
random sampling;
10.
Lithography tool improvement at productivity and performance with data analysis and machine learning
机译:
利用数据分析和机器学习的生产率和性能改善光刻工具
作者:
Yosuke TAKARADA
;
Douglas SHELTON
;
Tsuneari FUKADA
;
Shoshi KATAYAMA
;
Ken-Ichiro MORT
;
Seiya MIURA
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Lithography equipment;
Artificial intelligence;
AI;
Machine learning;
ML;
Automatic recovery;
Remote support;
11.
Digital Scanner, optical maskless exposure tool with DUV solid state laser
机译:
DuV固态激光器的数字扫描仪,光纤曝光工具
作者:
Yoji Watanabe
;
Hirotaka Kono
;
Yuho Kanaya
;
Yusuke Saito
;
Toshiaki Sakamoto
;
Soichi Owa
;
Noriyuki Hirayanagi
;
Thomas Koo
;
Craig Poppe
;
David Tseng
;
Conrad Sorensen
;
Hwan Lee
;
Stephen Renwick
;
Bausan Yuan
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Maskless;
Optical maskless;
SLM;
Solid state laser;
Digital Scanner;
DUV;
security;
large area;
12.
Machine Learning ILT for Memory Customers
机译:
用于记忆客户的机器学习董事
作者:
Thomas Cecil
;
Kyle Braam
;
Ahmed Omran
;
Amyn Poonawala
;
Jason Shu
;
Clark Vandam
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Inverse Lithography Technology;
ILT;
Machine Learning;
OPC;
Neural Networks;
13.
Enhancing model accuracy and calibration efficiency with image based pattern selection using machine learning techniques
机译:
利用机器学习技术提高基于图像的模式选择模型精度和校准效率
作者:
Ren-Cheng Sun
;
Dae-Kwon Kang
;
Chester Jia
;
Meng Liu
;
De-Bao Shao
;
Young-Seok Kim
;
Jangho Shin
;
Mark Simmons
;
Qian Zhao
;
Mu Feng
;
Yiqiong Zhao
;
Shibing Wang
;
Sungho Kim
;
Sungwoo Ko
;
Shinyoung Kim
;
Jaeseung Choi
;
Chanha Park
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
OPC modeling;
Model accuracy;
Machine learning;
Pattern selection;
Pattern coverage;
Edge Placement;
14.
Fast Prediction of Process Variation Band through Machine Learning Models
机译:
通过机器学习模型快速预测过程变化带
作者:
Pervaiz Kareem
;
Yonghwi Kwon
;
Gangmin Cho
;
Youngsoo Shin
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Process variation band (PVB);
machine learning (ML);
conditional generative adver-sarial networks (cGANs);
15.
High-Resolution Patterning for Panel Level Packaging
机译:
用于面板层面包装的高分辨率图案化
作者:
Ken-Ichiro MORI
;
Douglas SHELTON
;
Yoshio GOTO
;
Hiromi SUDA
;
Hiroyuki WADA
;
Hideo TANAKA
;
Seiya MIURA
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
More-than-Moore;
Heterogeneous Integration;
Panel Level Packaging;
Panel exposure tool;
Sub-micron patterning;
16.
Test Pattern Extraction for Lithography Modeling under Design Rule Revisions
机译:
设计规则修订下光刻建模的测试模式提取
作者:
Gangmin Cho
;
Yonghwi Kwon
;
Pervaiz Kareem
;
Sungho Kim
;
Youngsoo Shin
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Lithography modeling;
test pattern;
image parameter set (IPS);
clustering;
17.
Lithography Layout Classification Based on Graph Convolution Network
机译:
基于图卷积网络的光刻布局分类
作者:
Junbi Zhang
;
Xu Ma
;
Shengen Zhang
;
Xianqiang Zheng
;
Rui Chen
;
Yihua Pan
;
Lisong Dong
;
Yayi Wei
;
Gonzalo R. Arce
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Optical Lithography;
layout classification;
graph convolution network;
computational lithography;
graph signal processing;
18.
Contour-based model calibration to a minimum number of patterns
机译:
基于轮廓的模型校准到最小数量的图案
作者:
Bernd Kuechler
;
Francois Weisbuch
;
Wolfgang Hoppe
;
Jirka Schatz
;
Martin Bohn
;
Ulrich Klostermann
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
RET;
SEM;
contour-based calibration;
rigorous lithography simulation;
19.
Fast Rigorous Modeling of Photoresist in Lithography
机译:
光刻光致抗蚀剂的快速严格建模
作者:
David Fryer
;
Ignat Moskalenko
;
Germain Fenger
;
Daman Khaira
;
Yunfei Deng
;
Yuri Granik
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
photoresist;
physical modeling;
information theory;
negative tone develop;
compact modeling;
20.
Advanced ILT solutions to manufacture photonics designs
机译:
用于制造光子学设计的先进的ILT解决方案
作者:
Nassima Zeggaoui
;
Alexander Tritchkov
;
Sergey Kobelkov
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Lithography;
ILT;
OPC;
SRAF;
Photonics;
Curved designs;
EPE;
PVBand;
LER;
21.
SRAF generation based on SGM/CTM contour line
机译:
基于SGM / CTM轮廓线的SRAF生成
作者:
Pengzheng Gao
;
Libin Zhang
;
YaYi Wei
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
SRAF;
OPC;
SGM;
Contour Line;
22.
Lithocell availability improvement through light source maintenance cycle improvement and optimization and its availability impact analysis for cutting-edge ArFi Light source
机译:
Lithocell可用性通过光源维护周期提高和优化改进及其可用性影响分析,用于尖端ARFI光源
作者:
Takehiko Tomonaga
;
Tsukasa Hori
;
Daisuke Tei
;
Taku Yamazaki
;
Tommy Oga
会议名称:
《Conference on Optical Microlithography》
|
2021年
23.
Fast Optical Proximity Correction Based on Graph Convolutional Network
机译:
基于图形卷积网络的快速光学邻近校正
作者:
Shengen Zhang
;
Xu Ma
;
Junbi Zhang
;
Rui Chen
;
Yihua Pan
;
ChengZhen Yu
;
Lisong Dong
;
Yayi Wei
;
Gonzalo R. Arce
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
Optical lithography;
computational lithography;
optical proximity correction (OPC);
graph signal processing(GSP);
graph convolutional network (GCN);
geometric deep learning;
24.
Advanced spectral engineering: A new way of process improvements by laser spectra optimization for optical lithography
机译:
高级光谱工程:通过光学光刻激光光谱优化的一种新方法改进方式
作者:
Koichi Fujii
;
Takahito Kumazaki
;
Takamitsu Komaki
;
Toshihiro Oga
;
Taku Yamazaki
会议名称:
《Conference on Optical Microlithography》
|
2021年
关键词:
PW;
DOF;
EL;
CDU;
OPE;
spectral engineering (SE);
multi-peak;
flat-top;
broadband;
25.
Pixelated Mask Optimization on Quantum Computers
机译:
量子计算机上的像素化蒙版优化
作者:
Yosukc Okudaira
;
Satoshi Yashiki
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
mask optimization;
pixel;
pixelated;
quantum;
quantum computing;
QUBO;
26.
Establishing Fast, Practical, Full-chip ILT Flows Using Machine Learning
机译:
使用机器学习建立快速,实用,全芯片ILT流程
作者:
Thomas Cecil
;
Kyle Braam
;
Ahmed Omran
;
Amyn Poonawala
;
Jason Shu
;
Clark Vandam
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Inverse Lithography Technology;
ILT;
Machine Learning;
OPC;
Neural Networks;
27.
Mask Synthesis using Machine Learning Software and Hardware Platforms
机译:
使用机器学习软件和硬件平台的掩模合成
作者:
Peng Liu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
computational lithography;
inverse lithography;
mask synthesis;
machine learning;
OPC;
ILT.;
28.
Model based CAOPC flow for memory chips to improve performance and consistency of RET solutions
机译:
用于存储芯片的基于模型的CAOPC流程可提高RET解决方案的性能和一致性
作者:
Srividya Jayaram
;
Sherif Hany Mousa
;
Ashutosh Rathi
;
Pat LaCour
;
Zhenguo Zheng
;
Lei Zhang
;
Yaobin Feng
;
Jun Yao
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Memory;
CAOPC flow;
pattern/property-aware analysis;
cell-array OPC;
SRAF/OPC consistency;
RET performance and turn-around-time;
29.
Physics based feature vector design: A critical step towards machine learning based inverse lithography
机译:
基于物理的特征向量设计:基于机器学习的逆光刻技术的关键一步
作者:
Xuelong Shi
;
Yuhang Zhao
;
Shoumian Chen
;
Chen Li
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Optimal feature vector design;
inverse lithography technology (ILT). deep convolution neural network (DCNN);
30.
Accurate etch modeling with massive metrology and deep-learning technology
机译:
具有大规模计量和深度学习技术的精确蚀刻模型
作者:
Yifei Lu
;
Yuhang Zhao
;
Ming Li
;
Wei Yuan
;
Xiang Peng
;
Hongmei Hu
;
Shuxin Yao
;
Zhunhua Liu
;
Yu Tian
;
Ying Gao
;
Bingyang Pan
;
Weijun Wang
;
Chunyan Yi
;
Jinze Wang
;
Qian Xie
;
Xichen Sheng
;
Ying-chen Wu
;
Guanyong Yan
;
Yanjun Xiao
;
Liang Liu
;
Liang Ji
;
Qian Zhao
;
Yongfa Fan
;
Yiqiong Zhao
;
Mu Feng
;
Yueliang Yao
;
Terrence Yang
;
Jun Lang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
metrology;
AEI;
etch;
SEM;
OPC model accuracy;
image averaging;
contour based model calibration;
pattern coverage;
deep learning;
31.
SRAF Printing Prediction Using Artificial Neural Network
机译:
基于人工神经网络的SRAF打印预测
作者:
Yonghwi Kwon
;
Jinho Yang
;
Sungho Kim
;
CheolKyun Kim
;
Youngsoo Shin
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Sub-resolution assist feature (SRAF);
artificial neural network (ANN);
32.
Improving ORC methods and hotspot detection with the usage of aerial images metrology
机译:
利用航空影像计量技术改进ORC方法和热点检测
作者:
Francois Weisbuch
;
Thomas Thaler
;
Ute Buttgereit
;
Christian Stotzel
;
Thomas Zeuner
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Aerial image;
lithography;
mask;
rigorous simulation;
hotspot;
WLCD;
optical rule check;
contours;
33.
Fast All-angle Mask 3D for ILT Patterning
机译:
用于ILT图案化的快速全角度遮罩3D
作者:
Ryan Pearman
;
Mike Meyer
;
Jeff Ungar
;
Henry Yu
;
Leo Pang
;
Aki Fujimura
会议名称:
《Conference on Optical Microlithography》
|
2020年
34.
Resist shrinkage during development: rigorous simulations and first compact model for OPC
机译:
在开发过程中抵抗收缩:OPC的严格模拟和首个紧凑模型
作者:
Yuri Granik
;
Daman Khaira
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
compact modeling;
elastic compact model;
ECM;
OPC;
optical proximity correction;
resist shrinking;
resist development;
lithographical simulations;
35.
Compact resist model using single convolution kernel
机译:
使用单卷积核的紧凑型抗蚀剂模型
作者:
Taiki Kimura
;
Tctsuaki Matsunawa
;
Shoji Mimotogi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
compact resist model;
convolution kernel;
ill-posed linear inverse problem;
regularization;
36.
Rigorous Simulation of Implant Resist on Topographic Wafer
机译:
严密模拟地形晶片上的植入物
作者:
Jirka Schatz
;
Bernd Kuechler
;
Wolfgang Hoppe
;
Dimitrios Tsamados
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
implant lithography;
wafer topography (WT or W3D);
optical proximity correction (OPC);
rigorous lithography simulation;
etch simulation;
37.
RIGOROUS VASE DATA FITTING FOR ULTRATHIN FILMS
机译:
超薄电影的严格花瓶数据拟合
作者:
Zhimin Zhu
;
Joyce Lowes
;
Shawn Ye
;
Zhiqiang Fan
;
Darin Collins
;
James Lamb
;
Tim Limmer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
ultrathin film;
VASE data fitting;
38.
TrueMask® ILT MWCO: Full-Chip Curvilinear ILT in a Day, and Full Mask Multi-Beam and VSB Writing in 12 Hours for 193i
机译:
TrueMask®ILT MWCO:一日全芯片曲线ILT,并在12小时内完成193i的全掩模多光束和VSB写入
作者:
Linyong (Leo) Pang
;
P. Jeffrey Ungar
;
Ali Bouaricha
;
Lu Sha
;
Michael Pomerantsev
;
Mariusz Niewczas
;
Kechang Wang
;
Bo Su
;
Ryan Pearman
;
Aki Fujimura
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Photomask. GPU;
Inverse Lithography Technology;
ILT. Curvilinear ILT;
Mask Wafer Co-Optimization (MWCO);
Multi-beam Mask Writer;
VSB Mask Writer;
MDP;
MPC;
39.
Divided spectrum illumination for high resolution flat panel display exposure tools
机译:
高分辨率平板显示器曝光工具的分光照明
作者:
Manabu Hakko
;
Kanji Suzuki
;
Koichi Takasaki
;
Miwako Ando
;
Kouhei Nagano
;
Nozomu Izumi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
FPD. high resolution;
DOF. broadband. RET;
DSI;
OAI;
annular;
40.
Investigating the fine line lithography process on the large-size organic panels for advanced packaging and development
机译:
研究用于大型包装和开发的大型有机板上的细线光刻工艺
作者:
Naoya Sohara
;
Ryotaro Takahashi
;
Hirosuke Takamatsu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Advanced packaging;
SEM;
Dry Film Resist. Panel level package;
41.
Accuracy Improvement in Advance Lithography Focus Control
机译:
提前光刻聚焦控制的精度提高
作者:
Chris Hsu
;
Dongyue Yang
;
Cassidy Dineen
;
Xueli Hao
;
Young Ki Kim
;
Poya Hsu
;
Bradley Morgenfeld
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
process control;
diffraction base overlay;
diffraction base focus;
42.
Holistic Alignment Approach for On-Product Overlay Improvement on DUV Lithography Process with Combined Solutions
机译:
整体对准方法,用于DUV平版印刷工艺中采用组合解决方案的产品覆盖改进
作者:
Jigang Ma
;
Miao Yu
;
Cees Lambregts
;
Sotirios Tsiachris
;
Paul Bocker
;
Jun-Yeob Kim
;
Won-Kwang Ma
;
Sang-Jun Han
;
Chan-Ha Park
;
Kyong-Seok Kim
;
Jung-Hwan Kim
;
Sang-Jun Park
;
Gwang-Gon Kim
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Holistic;
Alignment;
On-Product Overlay;
Wafer-to-Wafer;
DUV;
43.
A novel projection lens manipulator for high frequent overlay tuning
机译:
一种用于频繁叠加调整的新型投影镜头操纵器
作者:
Thilo Pollak
;
Wolfgang Emer
;
Bemd Thuering
;
Francis Fahmi
;
Friso Klinkhamer
;
Wim de Boeij
;
Wim Bouman
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Overlay;
EUV matching;
high-density adjustment;
44.
Advanced high order field-to-field modeling for wafer edge control
机译:
用于晶片边缘控制的高级高阶场对场建模
作者:
Boris Habets
;
Stefan Buhl
;
Wan-Soo Kim
;
Patrick Lomtschcr
;
Holgcr Bald
;
Tobias Hoeer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Field-by-field corrections;
correction per exposure (CPE);
HOPC;
overlay edge modeling;
modeling algorithms;
dense and sparse sampling;
OVALiS;
45.
Application of Intra-field alignment to reduce wafer-to-wafer variation
机译:
应用场内对准减少晶片间差异
作者:
Jangsun Kim
;
Seonho Lee
;
Hyunjun Ha
;
Boris Habcts
;
Enrico Bcllmann
;
Holger Bald
;
Tobias Hoeer
;
Seop Kim
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
IFWA (Intra-field alignment);
overlay;
alignment;
run-to-run;
HVM;
modeling;
simulation;
throughput;
wafer-to-wafer variation;
46.
Novel overlay correction using inline alignment station (iAS) for scanner
机译:
使用在线对准仪(iAS)进行扫描仪的新型覆盖校正
作者:
Takahisa Kikuchi
;
Ayako Sugimoto
;
Shigeru Eto
;
Akira Okutomi
;
Naoki Morita
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Overlay alignment;
Machine learning;
Circular Bessel function;
47.
Improvement of SADP CD Control in 7nm BEOL Application
机译:
SANP CD控制在7nm BEOL应用中的改进
作者:
Qi Lin
;
Toshiyuki Hisamura
;
Nui Chong
;
Jonathan Chang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
7nm;
double patterning;
self-aligned double patterning (SADP);
critical dimension (CD);
variation;
Color-A;
Color-B;
BEOL;
48.
Through-the-Mask (TTM) Optical Alignment for High Volume Manufacturing Nanoimprint Lithography Systems
机译:
用于大批量制造纳米压印光刻系统的掩膜(TTM)光学对准
作者:
Takamitsu Komaki
;
Yasuyuki Unno
;
Takahiro Matsumoto
;
Toshiki Iwai
;
Nozomu Hayashi
;
Tomokazu Taki
;
Tohru Kawashima
;
Satoshi lino
;
Shinichirou Hirai
;
Ken Minoda
;
Takafumi Miyaharu
;
Kazuhiro Takahashi
;
Kazuhiko Mishima
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
nanoimprint lithography;
NIL;
alignment;
overlay;
TTM;
moire;
robustness;
wavelength;
49.
Design and fabrication of UVLED array aligner for proximity and soft contact exposure
机译:
用于近距离接触和软接触曝光的UVLED阵列对准器的设计与制造
作者:
Jiun-Woei Huang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
UVLED array;
array aligner;
proximity exposure;
uniform source;
50.
Proteus SMO for Process Window Improvement
机译:
Proteus SMO用于改进过程窗口
作者:
Kun-Yuan Chen
;
Andy Lan
;
Richer Yang
;
Jing Jing Liu
;
Ting Ting Xu
;
Cheng-Shuan Lin
;
Hua Ding
;
Chih-Jie Lee
;
Thuc Dam
;
Jianjun Jia
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Proteus SMO;
Inverse Lithography Technology;
Sentaurus Lithography;
DRAM;
Resolution Enhancement Technique;
51.
Approach for light source utilization improvement by extending Preventive Maintenance (PM) cycle along with performance monitoring feature
机译:
通过延长预防性维护(PM)周期以及性能监控功能来提高光源利用率的方法
作者:
Futoshi Sato
;
Sophia Hu
;
Toshihiro Oga
;
Taku Yamazaki
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Key-word:Availability;
Productivity;
PM(Periodical Maintenance);
DUV;
Module;
Lifetime;
AI;
Prediction;
KPI (Key Performance Indicator);
52.
Comparison of Different Lithographic Source Optimization Methods Based on Compressive Sensing
机译:
基于压缩传感的不同光刻方法的比较
作者:
Zhiqiang Wang
;
Xu Ma
;
Rui Chen
;
Gonzalo R. Arcc
;
Lisong Dong
;
Hans-Juergcn Stock
;
Yayi Wei
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
optical lithography;
computational lithography;
source optimization (SO);
compressive sensing (CS);
source-mask optimization (SMO);
53.
Imaging performance enhancement by improvements of spectral performance stability and controllability on the cutting-edge
机译:
通过提高光谱性能的稳定性和可控性来增强成像性能
作者:
Miwa Igarashi
;
Hirotaka Miyamoto
;
Masahide Katou
;
Hiroaki Tsushima
;
Masato Moriya
;
Akihiko Kurosu
;
Hiroshi Tanaka
;
Satoshi Tanaka
;
Takeshi Ohta
;
Satoru Bushida
;
Takashi Saito
;
Hakaru Mizoguchi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
DUV;
lightsource;
spectrum bandwidth;
E95 spectral bandwidth stability;
wavelength stability;
lifetime extension;
line narrowing module;
54.
Fast algorithm of the scanning lithographic metrics based on a quadratic imaging model and an integral transfer function
机译:
基于二次成像模型和积分传递函数的扫描光刻度量的快速算法
作者:
Zhiyong Yang
;
Xiuguo Chen
;
Yating Shi
;
Hao Jiang
;
Shiyuan Liu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
scanning lithographic tool;
projection lens;
aberration;
quadratic image model;
integral transfer function;
lithographic metrics;
55.
Target recovery process optimization to improve image based overlay performance for critical recording head manufacturing processes
机译:
优化目标恢复过程,以改善关键记录头制造过程中基于图像的覆盖性能
作者:
Yi Liu
;
Dan Yu
;
Aaron Bowser
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Key words: target recovery process;
image based overlay;
Box in box. AIMid. Multi-layer AIMid. self-referencing mark;
56.
KrF excimer laser-based patterning system for dual applications in both lithography and ablation
机译:
基于KrF准分子激光的图案形成系统,可同时用于光刻和烧蚀
作者:
Julius Joseph Santillan
;
Nobutaka Uemori
;
Hiroshi Yamaoka
;
Toshiro Itani
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
KrF excimer laser;
laser-ablation;
large depth-of-focus;
polyhydroxystyrene;
novolac;
57.
Evaluating and correcting pattern variability induced by OPC within regular array layout
机译:
在常规阵列布局中评估和校正由OPC引起的模式可变性
作者:
C. Gardin
;
R. La Greca
;
J.N. Pena
;
L. Depre
;
E. Sungauer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
pattern variability;
OPC consistency;
pattern matching;
58.
Application of Intra-field alignment to reduce wafer-to-wafer variation
机译:
近场对准的应用降低晶片到晶片变化
作者:
Jangsun Kim
;
Seonho Lee
;
Hyunjun Ha
;
Boris Habcts
;
Enrico Bcllmann
;
Holger Bald
;
Tobias Hoeer
;
Seop Kim
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
IFWA (Intra-field alignment);
overlay;
alignment;
run-to-run;
HVM;
modeling;
simulation;
throughput;
wafer-to-wafer variation;
59.
KrF excimer laser-based patterning system for dual applications in both lithography and ablation
机译:
基于KRF准分子激光的Patterning系统,用于光刻和消融的双应用
作者:
Julius Joseph Santillan
;
Nobutaka Uemori
;
Hiroshi Yamaoka
;
Toshiro Itani
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
KrF excimer laser;
laser-ablation;
large depth-of-focus;
polyhydroxystyrene;
novolac;
60.
Accuracy Improvement in Advance Lithography Focus Control
机译:
预先改进精度改善光刻焦点控制
作者:
Chris Hsu
;
Dongyue Yang
;
Cassidy Dineen
;
Xueli Hao
;
Young Ki Kim
;
Poya Hsu
;
Bradley Morgenfeld
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
process control;
diffraction base overlay;
diffraction base focus;
61.
A novel projection lens manipulator for high frequent overlay tuning
机译:
用于高频繁覆盖调谐的新型投影镜头机械手
作者:
Thilo Pollak
;
Wolfgang Emer
;
Bemd Thuering
;
Francis Fahmi
;
Friso Klinkhamer
;
Wim de Boeij
;
Wim Bouman
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Overlay;
EUV matching;
high-density adjustment;
62.
Novel overlay correction using inline alignment station (iAS) for scanner
机译:
使用内联对准站(IAS)扫描仪的新型覆盖校正
作者:
Takahisa Kikuchi
;
Ayako Sugimoto
;
Shigeru Eto
;
Akira Okutomi
;
Naoki Morita
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Overlay alignment;
Machine learning;
Circular Bessel function;
63.
SRAF Printing Prediction Using Artificial Neural Network
机译:
使用人工神经网络的SRAF印刷预测
作者:
Yonghwi Kwon
;
Jinho Yang
;
Sungho Kim
;
CheolKyun Kim
;
Youngsoo Shin
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Sub-resolution assist feature (SRAF);
artificial neural network (ANN);
64.
Investigating the fine line lithography process on the large-size organic panels for advanced packaging and development
机译:
调查大尺寸有机面板的细线光刻工艺进行先进包装和开发
作者:
Naoya Sohara
;
Ryotaro Takahashi
;
Hirosuke Takamatsu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Advanced packaging;
SEM;
Dry Film Resist. Panel level package;
65.
Improving ORC methods and hotspot detection with the usage of aerial images metrology
机译:
用空中图像计量的使用改善ORC方法和热点检测
作者:
Francois Weisbuch
;
Thomas Thaler
;
Ute Buttgereit
;
Christian Stotzel
;
Thomas Zeuner
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Aerial image;
lithography;
mask;
rigorous simulation;
hotspot;
WLCD;
optical rule check;
contours;
66.
Fast All-angle Mask 3D for ILT Patterning
机译:
用于ill图案的快速全角掩模3D
作者:
Ryan Pearman
;
Mike Meyer
;
Jeff Ungar
;
Henry Yu
;
Leo Pang
;
Aki Fujimura
会议名称:
《Conference on Optical Microlithography》
|
2020年
67.
Compact resist model using single convolution kernel
机译:
使用单卷积内核的紧凑型抗蚀模型
作者:
Taiki Kimura
;
Tctsuaki Matsunawa
;
Shoji Mimotogi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
compact resist model;
convolution kernel;
ill-posed linear inverse problem;
regularization;
68.
Establishing Fast, Practical, Full-chip ILT Flows Using Machine Learning
机译:
使用机器学习建立快速,实用,全芯片ill流量
作者:
Thomas Cecil
;
Kyle Braam
;
Ahmed Omran
;
Amyn Poonawala
;
Jason Shu
;
Clark Vandam
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Inverse Lithography Technology;
ILT;
Machine Learning;
OPC;
Neural Networks;
69.
Through-the-Mask (TTM) Optical Alignment for High Volume Manufacturing Nanoimprint Lithography Systems
机译:
用于大容量制造纳米压印光刻系统的贯穿掩模(TTM)光学对准
作者:
Takamitsu Komaki
;
Yasuyuki Unno
;
Takahiro Matsumoto
;
Toshiki Iwai
;
Nozomu Hayashi
;
Tomokazu Taki
;
Tohru Kawashima
;
Satoshi lino
;
Shinichirou Hirai
;
Ken Minoda
;
Takafumi Miyaharu
;
Kazuhiro Takahashi
;
Kazuhiko Mishima
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
nanoimprint lithography;
NIL;
alignment;
overlay;
TTM;
moire;
robustness;
wavelength;
70.
Resist shrinkage during development: rigorous simulations and first compact model for OPC
机译:
开发期间的抵抗抵抗萎缩:严格的模拟和OPC的第一款紧凑型号
作者:
Yuri Granik
;
Daman Khaira
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
compact modeling;
elastic compact model;
ECM;
OPC;
optical proximity correction;
resist shrinking;
resist development;
lithographical simulations;
71.
Mask Synthesis using Machine Learning Software and Hardware Platforms
机译:
使用机器学习软件和硬件平台掩模综合
作者:
Peng Liu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
computational lithography;
inverse lithography;
mask synthesis;
machine learning;
OPC;
ILT.;
72.
Target recovery process optimization to improve image based overlay performance for critical recording head manufacturing processes
机译:
目标恢复过程优化以改善基于图像的覆盖性能,以便关键记录头制造过程
作者:
Yi Liu
;
Dan Yu
;
Aaron Bowser
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Key words: target recovery process;
image based overlay;
Box in box. AIMid. Multi-layer AIMid. self-referencing mark;
73.
RIGOROUS VASE DATA FITTING FOR ULTRATHIN FILMS
机译:
超薄薄膜的严格花瓶数据配件
作者:
Zhimin Zhu
;
Joyce Lowes
;
Shawn Ye
;
Zhiqiang Fan
;
Darin Collins
;
James Lamb
;
Tim Limmer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
ultrathin film;
VASE data fitting;
74.
Advanced high order field-to-field modeling for wafer edge control
机译:
晶圆边缘控制的先进高阶场到现场建模
作者:
Boris Habets
;
Stefan Buhl
;
Wan-Soo Kim
;
Patrick Lomtschcr
;
Holgcr Bald
;
Tobias Hoeer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Field-by-field corrections;
correction per exposure (CPE);
HOPC;
overlay edge modeling;
modeling algorithms;
dense and sparse sampling;
OVALiS;
75.
Design and fabrication of UVLED array aligner for proximity and soft contact exposure
机译:
UVLED阵列对准器的设计和制造,用于接近和软接触曝光
作者:
Jiun-Woei Huang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
UVLED array;
array aligner;
proximity exposure;
uniform source;
76.
Physics based feature vector design: A critical step towards machine learning based inverse lithography
机译:
基于物理学的特征向量设计:基于机器学习的逆光刻的关键步骤
作者:
Xuelong Shi
;
Yuhang Zhao
;
Shoumian Chen
;
Chen Li
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Optimal feature vector design;
inverse lithography technology (ILT). deep convolution neural network (DCNN);
77.
Approach for light source utilization improvement by extending Preventive Maintenance (PM) cycle along with performance monitoring feature
机译:
通过扩展预防性维护(PM)周期以及性能监控功能来实现光源利用改进方法
作者:
Futoshi Sato
;
Sophia Hu
;
Toshihiro Oga
;
Taku Yamazaki
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Key-word:Availability;
Productivity;
PM(Periodical Maintenance);
DUV;
Module;
Lifetime;
AI;
Prediction;
KPI (Key Performance Indicator);
78.
TrueMask? ILT MWCO: Full-Chip Curvilinear ILT in a Day, and Full Mask Multi-Beam and VSB Writing in 12 Hours for 193i
机译:
TrueMask? ILT MWCO:每天的全芯片曲线ILT,以及193i的12小时内全面具多光束和VSB写入
作者:
Linyong (Leo) Pang
;
P. Jeffrey Ungar
;
Ali Bouaricha
;
Lu Sha
;
Michael Pomerantsev
;
Mariusz Niewczas
;
Kechang Wang
;
Bo Su
;
Ryan Pearman
;
Aki Fujimura
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Photomask. GPU;
Inverse Lithography Technology;
ILT. Curvilinear ILT;
Mask Wafer Co-Optimization (MWCO);
Multi-beam Mask Writer;
VSB Mask Writer;
MDP;
MPC;
79.
Proteus SMO for Process Window Improvement
机译:
PROTEUS SMO用于过程窗口改进
作者:
Kun-Yuan Chen
;
Andy Lan
;
Richer Yang
;
Jing Jing Liu
;
Ting Ting Xu
;
Cheng-Shuan Lin
;
Hua Ding
;
Chih-Jie Lee
;
Thuc Dam
;
Jianjun Jia
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Proteus SMO;
Inverse Lithography Technology;
Sentaurus Lithography;
DRAM;
Resolution Enhancement Technique;
80.
Improvement of SADP CD Control in 7nm BEOL Application
机译:
7NM BEOL应用中SADP CD控制的改进
作者:
Qi Lin
;
Toshiyuki Hisamura
;
Nui Chong
;
Jonathan Chang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
7nm;
double patterning;
self-aligned double patterning (SADP);
critical dimension (CD);
variation;
Color-A;
Color-B;
BEOL;
81.
Rigorous Simulation of Implant Resist on Topographic Wafer
机译:
植入物抗蚀剂在地形晶圆上的严格模拟
作者:
Jirka Schatz
;
Bernd Kuechler
;
Wolfgang Hoppe
;
Dimitrios Tsamados
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
implant lithography;
wafer topography (WT or W3D);
optical proximity correction (OPC);
rigorous lithography simulation;
etch simulation;
82.
Accurate etch modeling with massive metrology and deep-learning technology
机译:
具有大规模计量和深度学习技术的准确蚀刻建模
作者:
Yifei Lu
;
Yuhang Zhao
;
Ming Li
;
Wei Yuan
;
Xiang Peng
;
Hongmei Hu
;
Shuxin Yao
;
Zhunhua Liu
;
Yu Tian
;
Ying Gao
;
Bingyang Pan
;
Weijun Wang
;
Chunyan Yi
;
Jinze Wang
;
Qian Xie
;
Xichen Sheng
;
Ying-chen Wu
;
Guanyong Yan
;
Yanjun Xiao
;
Liang Liu
;
Liang Ji
;
Qian Zhao
;
Yongfa Fan
;
Yiqiong Zhao
;
Mu Feng
;
Yueliang Yao
;
Terrence Yang
;
Jun Lang
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
metrology;
AEI;
etch;
SEM;
OPC model accuracy;
image averaging;
contour based model calibration;
pattern coverage;
deep learning;
83.
Evaluating and correcting pattern variability induced by OPC within regular array layout
机译:
常规阵列布局内OPC诱导的评估和校正模式变异性
作者:
C. Gardin
;
R. La Greca
;
J.N. Pena
;
L. Depre
;
E. Sungauer
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
pattern variability;
OPC consistency;
pattern matching;
84.
Imaging performance enhancement by improvements of spectral performance stability and controllability on the cutting-edge
机译:
成像性能通过改进光谱性能稳定性和尖端上的可控性的性能增强
作者:
Miwa Igarashi
;
Hirotaka Miyamoto
;
Masahide Katou
;
Hiroaki Tsushima
;
Masato Moriya
;
Akihiko Kurosu
;
Hiroshi Tanaka
;
Satoshi Tanaka
;
Takeshi Ohta
;
Satoru Bushida
;
Takashi Saito
;
Hakaru Mizoguchi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
DUV;
lightsource;
spectrum bandwidth;
E95 spectral bandwidth stability;
wavelength stability;
lifetime extension;
line narrowing module;
85.
Pixelated Mask Optimization on Quantum Computers
机译:
量子计算机上的像素化掩模优化
作者:
Yosukc Okudaira
;
Satoshi Yashiki
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
mask optimization;
pixel;
pixelated;
quantum;
quantum computing;
QUBO;
86.
Holistic Alignment Approach for On-Product Overlay Improvement on DUV Lithography Process with Combined Solutions
机译:
杜夫光刻工艺与组合解决方案的整体对准方法
作者:
Jigang Ma
;
Miao Yu
;
Cees Lambregts
;
Sotirios Tsiachris
;
Paul Bocker
;
Jun-Yeob Kim
;
Won-Kwang Ma
;
Sang-Jun Han
;
Chan-Ha Park
;
Kyong-Seok Kim
;
Jung-Hwan Kim
;
Sang-Jun Park
;
Gwang-Gon Kim
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Holistic;
Alignment;
On-Product Overlay;
Wafer-to-Wafer;
DUV;
87.
Model based CAOPC flow for memory chips to improve performance and consistency of RET solutions
机译:
基于模型基于CAOPC流的内存芯片,提高RET解决方案的性能和一致性
作者:
Srividya Jayaram
;
Sherif Hany Mousa
;
Ashutosh Rathi
;
Pat LaCour
;
Zhenguo Zheng
;
Lei Zhang
;
Yaobin Feng
;
Jun Yao
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
Memory;
CAOPC flow;
pattern/property-aware analysis;
cell-array OPC;
SRAF/OPC consistency;
RET performance and turn-around-time;
88.
Comparison of Different Lithographic Source Optimization Methods Based on Compressive Sensing
机译:
基于压缩感测的不同平版光谱源优化方法的比较
作者:
Zhiqiang Wang
;
Xu Ma
;
Rui Chen
;
Gonzalo R. Arcc
;
Lisong Dong
;
Hans-Juergcn Stock
;
Yayi Wei
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
optical lithography;
computational lithography;
source optimization (SO);
compressive sensing (CS);
source-mask optimization (SMO);
89.
Divided spectrum illumination for high resolution flat panel display exposure tools
机译:
用于高分辨率平板显示曝光工具的分频频谱照明
作者:
Manabu Hakko
;
Kanji Suzuki
;
Koichi Takasaki
;
Miwako Ando
;
Kouhei Nagano
;
Nozomu Izumi
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
FPD. high resolution;
DOF. broadband. RET;
DSI;
OAI;
annular;
90.
Fast algorithm of the scanning lithographic metrics based on a quadratic imaging model and an integral transfer function
机译:
基于二次成像模型的扫描光刻度量的快速算法和积分传递函数
作者:
Zhiyong Yang
;
Xiuguo Chen
;
Yating Shi
;
Hao Jiang
;
Shiyuan Liu
会议名称:
《Conference on Optical Microlithography》
|
2020年
关键词:
scanning lithographic tool;
projection lens;
aberration;
quadratic image model;
integral transfer function;
lithographic metrics;
91.
Optimum Biasing for 45 nm Node Chromeless and Attenuated Phase Shift Mask
机译:
45 nm节点无晶态和衰减相移掩模的最佳偏置
作者:
Young-Min Kang
;
Hye-Keun Oh
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
45 nm line and space;
CPL (chromeless phase lithography) mask;
att.PSM (attenuated phase shift mask);
OPC (optical proximity correction);
negative space bias.;
92.
Optimum Dose Variation Caused By Post Exposure Bake Temperature Difference Inside Photoresist Over Different Sublayers And Thickness
机译:
在不同子层和厚度上曝光烘烤温度差异引起的最佳剂量变化
作者:
Young-Min Kang
;
Ilsin An
;
Do Wan Kim
;
Hye-Keun Oh
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
PEB (post exposure bake);
optimum dose;
heat condition;
chemically amplified resist;
CD control.;
93.
Improving lithography intra wafer CD for C045 implant layers using STI thickness feed forward?
机译:
使用STI厚度向前提服CO45植入层的改善光刻内晶片CD型π
作者:
Jean Massin
;
Bastien Orlando
;
Maxime Gatefait
;
Jean-Damien Chapon
;
Bertrand Le-Gratiet
;
Blandine Minghetti
;
Pierre-Jerome Goirand
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
photolithography;
STI;
implant;
process control;
swing curve;
feedback;
feed-forward;
metrology;
optical CD;
mask;
interfield.;
94.
Full Chip Compensation for Local-Flare-Induced CD Error Using OPC/DRC Method
机译:
使用OPC / DRC方法的本地闪光诱导的CD误差的全芯片补偿
作者:
Jae-Young Choi
;
Yeon-Ah Shim
;
Kyung-Hee Yun
;
Jong-Doo Kim
;
Jae-Hee Kim
;
Jae-Won Han
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
local flare;
pattern density;
OPC;
DRC;
95.
High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography
机译:
高功率和高能稳定注射锁激光光源用于双曝光或双重图案化ARF浸入光刻
作者:
Masaya Yoshino
;
Hiroaki Nakarai
;
Takeshi Ohta
;
Hitoshi Nagano
;
Hiroshi Umeda
;
Yasufumi Kawasuji
;
Tom Abe
;
Ryoichi Nohdomi
;
Toru Suzuki
;
Satoshi Tanaka
;
Yukio Watanabe
;
Taku Yamazaki
;
Shinji Nagai
;
Osamu Wakabayashi
;
Takashi Matsunaga
;
Kouji Kakizaki
;
Jun
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
32nm node;
ArF excimer laser;
Injection Lock;
line narrow;
193nm lithography;
Immersion;
spectrum bandwidth;
high power;
96.
Advanced OPC and 2D verification for tip engineering using aggressive illuminations
机译:
使用积极照明的提示工程高级OPC和2D验证
作者:
X. Zhang
;
T. Lukanc
;
H. Yang
;
B. Ward
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
OPC;
2D verification;
tip engineering;
97.
Design of Automatic Controllers for Model-based OPC with Optimal Resist Threshold Determination for Improving Correction Convergence
机译:
基于模型的OPC自动控制器的设计,具有改进校正收敛的最佳抗蚀剂阈值确定
作者:
Yi-Sheng Su
;
Philip C. W. Ng
;
Kuen-Yu Tsai
;
Yung-Yaw Chen
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
model-based optical proximity correction;
resolution enhancement technologies;
feedback controllers;
constant threshold resist model;
98.
Advanced Mask Process Modeling for 45-nm and 32-nm Nodes
机译:
高级掩模过程建模45-nm和32-nm节点
作者:
Edita Tejnil
;
Yuanfang Hu
;
Emile Sahouria
;
Steffen Schulze
;
Ming JingTian
;
Eric Guo
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
mask modeling;
etch process;
mask process correction;
99.
COUPLED-DIPOLE MODELLING FOR 3D MASK SIMULATION
机译:
3D掩模仿真耦合 - 偶极建模
作者:
Vlad Temchenko
;
Chinteong Lim
;
Dave Wallis
;
Jens Schneider
;
Martin Niehoff
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
coupled dipole method;
mask simulation;
optical proximity correction;
100.
Coupled eigenmode theory applied to thick mask modeling of TM polarized imaging
机译:
耦合的特征模型应用于TM偏振成像的厚掩模建模
作者:
Gary Allen
;
Paul Davids
会议名称:
《Conference on Optical Microlithography》
|
2008年
关键词:
eigenmodes;
electromagnetic modeling;
diffraction grating;
polarization;
上一页
1
2
3
4
5
6
7
8
9
下一页
意见反馈
回到顶部
回到首页