掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International System on Chip Conference
IEEE International System on Chip Conference
召开年:
2014
召开地:
Las Vegas, NV(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Methodology of exploring ESL/RTL many-core platforms for developing embedded parallel applications
机译:
探索ESL / RTL多核平台以开发嵌入式并行应用程序的方法
作者:
Lai Jyu-Yuan
;
Huang Chih-Tsun
;
Hsu Ting-Shuo
;
Liou Jing-Jia
;
Yeh Tung-Hua
;
Cheng Liang-Chia
;
Lu Juin-Ming
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Encoding;
Hardware;
Integrated circuit modeling;
Receivers;
Software;
Three-dimensional displays;
Transform coding;
2.
T4A: System-on-chip design using Tri-gate technology
机译:
T4A:使用Tri-gate技术的片上系统设计
作者:
Marshall Andrew
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Lead;
System-on-chip;
3.
Plenary speaker
机译:
全体演讲者
作者:
Brown Jeffrey D.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
CMOS integrated circuits;
CMOS technology;
Program processors;
4.
Networks on chip design for real-time systems
机译:
实时系统的片上网络设计
作者:
Mahdoum A.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Bandwidth;
Data transfer;
Design methodology;
IP networks;
Logic gates;
Ports (Computers);
Transistors;
Noc;
area;
bandwidth;
distributed SoC;
energy;
5.
Reducing the turn-on time and overshoot voltage for a diode-triggered silicon-controlled rectifier during an electrostatic discharge event
机译:
减少静电放电期间二极管触发的可控硅整流器的开启时间和过冲电压
作者:
Ginawi Ahmed
;
Xia Tian
;
Gauthier Robert
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Anodes;
Cathodes;
Hardware;
Rectifiers;
Thyristors;
Tires;
CMOS;
electrostatic discharge;
silicon-controlled rectifier;
6.
Design of a low power CMOS 10bit flash-SAR ADC
机译:
低功耗CMOS 10位Flash-SAR ADC的设计
作者:
Lee Gi-Yoon
;
Yoon Kwang-Sub
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Arrays;
CMOS integrated circuits;
Capacitors;
Clocks;
Logic circuits;
Power demand;
Power dissipation;
Analog to Digital Converter;
Flash ADC;
Low power;
SAR;
7.
T2A: Clock implementation: A question of timing
机译:
T2A:时钟实现:时间问题
作者:
Blair Gerard M
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Application specific integrated circuits;
Clocks;
8.
Microcells for ICA-SOC for remote sensing of high energy radiation
机译:
用于ICA-SOC的微电池,用于遥感高能辐射
作者:
Jain Vijay K.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Algorithm design and analysis;
Approximation methods;
Computer architecture;
Independent component analysis;
Microcell networks;
Remote sensing;
System-on-chip;
Independent Component Analysis (ICA);
MA_PLUS cell;
Remote Sensing of High Energy radiation;
System on a chip (SOC) architecture;
Universal NonLinear (UNL) cell;
9.
Cost-optimal design of wireless pre-bonding test framework
机译:
无线预绑定测试框架的成本最优设计
作者:
Chandran Unni
;
Zhao Dan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Copper;
IP networks;
Propulsion;
Resource management;
Transportation;
Wireless communication;
10.
Comparative study of FinFETs versus 22nm bulk CMOS technologies: SRAM design perspective
机译:
FinFET与22nm体CMOS技术的比较研究:SRAM设计观点
作者:
Farkhani Hooman
;
Peiravi Ali
;
Kargaard Jens Madsen
;
Moradi Farshad
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
FinFETs;
Logic gates;
Power demand;
SRAM cells;
Threshold voltage;
CMOS;
FinFET;
SRAM;
11.
Hardware architecture of an Internet Protocol Version 6 processor
机译:
Internet协议版本6处理器的硬件体系结构
作者:
Traskov Boris
;
Langenbach Ulrich
;
Hofmann Klaus
;
Gregorius Peter
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Buffer storage;
Hip;
Internet;
Microarchitecture;
Pipelines;
Random access memory;
Registers;
12.
T2B: Carbon nanotubes and opportunities for wireless on-chip interconnect
机译:
T2B:碳纳米管和无线片上互连的机会
作者:
Nojeh Alireza
;
Pande Partha P.
;
Ivanov Andre
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Educational institutions;
Organizations;
13.
A low-power charge sharing hierarchical bitline and voltage-latched sense amplifier for SRAM macro in 28 nm CMOS technology
机译:
用于28 nm CMOS技术的SRAM宏的低功耗电荷共享分层位线和电压锁存读出放大器
作者:
Hong Chi-Hao
;
Chiu Yi-Wei
;
Zhao Jun-Kai
;
Jou Shyh-Jye
;
Wang Wen-Tai
;
Lee Reed
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Arrays;
CMOS integrated circuits;
CMOS technology;
Power demand;
Random access memory;
Sensors;
Simulation;
SRAM;
charge sharing;
current-latched sense amplifier;
hierarchical bitline;
low power;
voltage-latched sense amplifier;
14.
Power aware parallel computing on asymmetric multiprocessor
机译:
非对称多处理器上的功耗感知并行计算
作者:
Manakkadu Sheheeda
;
Dutta Sourav
;
Botros Nazeih M.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Hardware;
Instruction sets;
Multicore processing;
Optimization;
Synchronization;
15.
IP watermark verification based on power consumption analysis
机译:
基于功耗分析的IP水印验证
作者:
Marchand Cedric
;
Bossuet Lilian
;
Jung Edward
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Correlation;
Hardware;
IP networks;
Integrated circuits;
Power demand;
Power measurement;
Watermarking;
Hardware Security;
IC counterfeiting;
IP protection;
IP watermarking;
power consumption analysis;
salutary hardware;
side channel analysis;
16.
An energy efficient wireless Network-on-Chip using power-gated transceivers
机译:
使用功率门控收发器的高能效无线片上网络
作者:
Mondal Hemanta Kumar
;
Deb Sujay
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Computer architecture;
Power demand;
Switching circuits;
System-on-chip;
Transceivers;
Wireless communication;
Wires;
G-lines low power;
Network-on-Chip architecture;
power gating;
transceiver;
wireless interconnets;
17.
Panel discussion
机译:
小组讨论会
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
18.
A hardware acceleration scheme for memory-efficient flow processing
机译:
用于内存高效流处理的硬件加速方案
作者:
Yang Xin
;
Sezer Sakir
;
ONeill Shane
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Bandwidth;
Computer aided manufacturing;
Field programmable gate arrays;
Hardware;
Matched filters;
SDRAM;
Table lookup;
content addressable memory;
flow lookup table;
hash;
network flow processing;
19.
A stochastic learning algorithm for neuromemristive systems
机译:
神经忆阻系统的随机学习算法
作者:
Merkel Cory
;
Kudithipudi Dhireesha
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Algorithm design and analysis;
Hardware;
Least squares approximations;
Linear regression;
Random variables;
Training;
Transistors;
20.
On designing circuit primitives for cortical processors with memristive hardware
机译:
设计具有忆阻硬件的皮质处理器的电路原语
作者:
Kudithipudi Dhireesha
;
Merkel Cory
;
Ooi Yu Kee
;
Saleh Quitaba
;
Rose Garrett S.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Computer architecture;
Hardware;
Memristors;
Neurons;
Program processors;
Reservoirs;
Training;
Cortical Computing;
Memristors;
Neuromorphic Computing;
Neurons;
Synapses;
Training;
21.
T1B: Wireless NoC as interconnection backbone for multicore chips: Promises and challenges
机译:
T1B:无线NoC作为多核芯片的互连主干:承诺和挑战
作者:
Pande Partha P.
;
Nojeh Alireza
;
Ivanov Andre
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Bandwidth;
Forecasting;
Media;
Tutorials;
Wireless communication;
22.
On wiring delays reduction of tree-based FPGA using 3-D fabric
机译:
使用3-D结构减少基于树的FPGA的布线延迟
作者:
Pangracious Vinod
;
Marrakchi Mohamed Sahbi
;
Mehrez Habib
;
Marrakchi Zied
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Adders;
Delays;
Field programmable gate arrays;
Optimization;
Power demand;
Three-dimensional displays;
Through-silicon vias;
23.
A clock generator based on multiplying delay-locked loop
机译:
基于乘法锁相环的时钟发生器
作者:
Hwang Chorng-Sii
;
Chu Ting-Li
;
Chen Wen-Cheng
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Charge pumps;
Clocks;
Delays;
Detectors;
Generators;
Phase frequency detector;
frequency detector;
multiplying delay-locked loop (MDLL);
select logic;
24.
A unique non-intrusive approach to non-ATE Based cul-de-sac SoC debug
机译:
基于非ATE的cul-de-sac SoC调试的独特非侵入式方法
作者:
Easwaran Vasant
;
Bansal Virendra
;
Shurtz Greg
;
Gulati Rahul
;
Mody Mihir
;
Karandikar Prashant
;
Shankar Prithvi
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Hardware;
IP networks;
Pins;
Registers;
Silicon;
Software;
System-on-chip;
ATE;
Hardware observability;
IP;
MUX;
SoC;
bootstrap;
dead silicon;
non-intrusive debug;
25.
Analysis of the current-voltage characteristics of Silicon on Ferroelectric Insulator Field Effect Transistor (SOF-FET)
机译:
铁电绝缘体场效应晶体管(SOF-FET)上硅的电流-电压特性分析
作者:
Es-Sakhi Azzedin D
;
Chowdhury Masud H
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Capacitance;
Ferroelectric materials;
Insulators;
Logic gates;
Silicon;
Silicon-on-insulator;
Threshold voltage;
Ferroelectric FET;
Negative Capacitance;
Silicon-on-Insulator (SOI) device;
Subthreshold Slope;
Ultra-low-power Device;
26.
Evaluating mobile SOCs as an energy efficient DSP platform
机译:
评估移动SOC作为节能DSP平台
作者:
Briggs Matt
;
Zarkesh-Ha Payman
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Androids;
Digital signal processing;
Discrete Fourier transforms;
Graphics processing units;
Humanoid robots;
Mobile communication;
System-on-chip;
Android;
DFT;
Power;
Renderscipt;
SOC;
27.
A highly sensitive ISFET using pH-to-current conversion for real-time DNA sequencing
机译:
使用pH到电流转换进行实时DNA测序的高灵敏度ISFET
作者:
Uzzal Mohammad M
;
Zarkesh-Ha Payman
;
Edwards Jeremy S.
;
Coelho Ezequiel
;
Rawat Priyanka
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Biosensors;
DNA;
Logic gates;
Sensitivity;
Temperature sensors;
Threshold voltage;
DNA sequencing;
ISFET;
image grabber;
pH-to-current;
sensitivity;
synchronization;
28.
A neural rehabilitation chip with neural recording, peak detection, spike rate counter, and biphasic neural stimulator
机译:
具有神经记录,峰值检测,峰值率计数器和双相神经刺激器的神经康复芯片
作者:
Song Hongjiang
;
Chen Chen
;
Lin Meng-Wei
;
Li Kaijun
;
Christen Jennifer Blain
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Analog-digital conversion;
Detectors;
Electric potential;
Frequency control;
Layout;
Radiation detectors;
Voltage-controlled oscillators;
29.
A 40nm 256kb 6T SRAM with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists
机译:
一个40nm 256kb 6T SRAM,具有阈值电源门控,低摆幅全局读取位线以及具有Vtrip跟踪和负源极线辅助写入功能的电荷共享写入
作者:
Chung Chao-Kuei
;
Lu Chien-Yu
;
Chang Zhi-Hao
;
Jou Shyh-Jye
;
Chuang Ching-Te
;
Tu Ming-Hsien
;
Chen Yu-Hsuan
;
Hu Yong-Jyun
;
Kan Paul-Sen
;
Huang Huan-Shun
;
Lee Kuen-Di
;
Kao Yung-Shin
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Random access memory;
6T SRAM;
low power;
power-gating;
write-assist;
30.
Memristor crossbar based multicore neuromorphic processors
机译:
基于忆阻器交叉开关的多核神经形态处理器
作者:
Taha Tarek M.
;
Hasan Raqibul
;
Yakopcic Chris
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
MATLAB;
Memristors;
Neurons;
SPICE;
Memristor;
SPICE;
device;
memory;
31.
On circuit design of on-chip non-blocking interconnection networks
机译:
片上无阻塞互连网络的电路设计
作者:
Jiang Yikun
;
Yang Mei
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Delays;
Layout;
MOSFET;
Ports (Computers);
Power demand;
Benes;
Cadence Virtuoso;
Clos;
Crossbars;
Layout;
Network-on-Chip (NoC);
Schematic;
32.
A CMOS self-powered monolithic light direction sensor with SAR ADC
机译:
具有SAR ADC的CMOS自供电单片光方向传感器
作者:
Song Hongjiang
;
Lu Zhijian
;
Luo Tao
;
Christen Jennifer Blain
;
Wang Hongyi
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Metals;
Mirrors;
Optical sensors;
Photoconductivity;
Photodiodes;
Power supplies;
System-on-chip;
Light Direction Detection;
Photo Sensor;
SAR ADC;
Self-Powered;
33.
Multichannel Tunneling Carbon Nanotube Field Effect Transistor (MT-CNTFET)
机译:
多通道隧穿碳纳米管场效应晶体管(MT-CNTFET)
作者:
Es-Sakhi Azzedin D
;
Chowdhury Masud H
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Carbon nanotubes;
Current density;
Electron tubes;
Field effect transistors;
Logic gates;
Tunneling;
Band-to-Band Tunneling;
Single-Walled Carbon Nanotube (SWCNT);
Steep Subthreshold Slope;
Tunneling Field Effect Transistor (TFET);
34.
High-frequency and power-efficiency ultrasound beam-forming processor for handheld applications
机译:
用于手持式应用的高频和高能效超声波束形成处理器
作者:
Wu Guo-Zua
;
Tang Song-Nien
;
Chang Chih-Chi
;
Lee Chien-Ju
;
Lin Kuan-Hsien
;
Chen Oscal T.-C.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Delays;
Hysteresis;
Imaging;
Receivers;
Synchronization;
Ultrasonic imaging;
LVDS receiver;
Ultrasound;
adaptive phase delay;
beam-forming;
de-serializer;
hysteresis comparator;
telescopic amplifie;
35.
Collision array based workload assignment for Network-on-Chip concurrency
机译:
片上网络并发的基于冲突阵列的工作负载分配
作者:
Zhou He
;
Powers Linda S.
;
Roveda Janet M.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Arrays;
Dynamic scheduling;
Multicore processing;
System-on-chip;
TV;
Throughput;
Network-on-Chip system;
collision array;
parallelism;
workload assignment;
36.
Energy scalable approximate DCT architecture trading quality via boundary error-resiliency
机译:
能量可扩展的近似DCT架构通过边界误差弹性实现交易质量
作者:
Garg Bharat
;
Bharadwaj Nitesh K
;
Sharma G K
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Approximation algorithms;
Approximation methods;
Complexity theory;
Computer architecture;
Discrete cosine transforms;
Equations;
Measurement;
Discrete Cosine Transform (DCT);
architecture;
error-resiliency;
quality-energy tradeoff;
37.
PVT-aware digital controlled voltage regulator design for ultra-low-power (ULP) DVFS systems
机译:
面向超低功耗(ULP)DVFS系统的可识别PVT的数控电压调节器设计
作者:
Wu Pei-Chen
;
Kuo Yi-Ping
;
Wu Chung-Shiang
;
Chuang Ching-Te
;
Chu Yuan-Hua
;
Hwang Wei
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Delay lines;
Delays;
Detectors;
Inverters;
Regulators;
Time factors;
Voltage control;
PVT compensation;
PVT sensor;
PVT variation;
digital regulator;
linear voltage regulator;
38.
Comparison between optimal interconnection network in different 2D and 3D NoC structures
机译:
不同2D和3D NoC结构中最佳互连网络的比较
作者:
Radfar Farzad
;
Zabihi Masoud
;
Sarvari Reza
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Bandwidth;
Copper;
Delays;
Optimized production technology;
Three-dimensional displays;
Topology;
Wires;
3D NoC;
channel width;
interconnect;
optimal interconnection network;
39.
New quantization error assessment methodology for fixed-point pipeline FFT processor design
机译:
用于定点管线FFT处理器设计的新量化误差评估方法
作者:
Yang Chen
;
Xie Yizhuang
;
Chen He
;
Deng Yi
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Adders;
Algorithm design and analysis;
Computer architecture;
Mathematical model;
Noise;
Pipelines;
Quantization (signal);
SystemC;
fixed-point;
high-dynamics;
quantization error analysis;
radix-2sup2/sup pipeline FFT;
wordlength configuration;
40.
DESSERT: DESign Space ExploRation Tool based on power and energy at System-Level
机译:
DESSERT:在系统级基于功率和能量的DESign太空探索工具
作者:
Rethinagiri Santhosh Kumar
;
Palomar Oscar
;
Cristal Adrian
;
Unsal Osman
;
Swift Michael M.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Frequency control;
Kalman filters;
Power measurement;
Process control;
Program processors;
Reliability;
Space exploration;
41.
Design of a low power multistandard transceiver chain based on current-reuse VCO
机译:
基于电流复用VCO的低功耗多标准收发器链设计
作者:
Zhang Ye
;
Mueller Jan Henning
;
Wei Muh-Dey
;
Wunderlich Ralf
;
Heinen Stefan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Calibration;
Frequency modulation;
Noise;
Phase locked loops;
Quantization (signal);
Receivers;
Voltage-controlled oscillators;
Low power;
amplitude and phase mismatch;
current-reuse VCO;
image interference rejection;
42.
T4B: Formal verification in system-on-chip design: Scientific foundations and practical methodology
机译:
T4B:片上系统设计中的形式验证:科学基础和实用方法
作者:
Kunz Wolfgang
;
Stoffel Dominik
;
Urdahl Joakim
会议名称:
《IEEE International System on Chip Conference》
|
2014年
43.
Reliability aware logic synthesis through rewriting
机译:
通过重写进行可靠性感知的逻辑综合
作者:
Grandhi Satish
;
Spagnol Christian
;
Chen Jiaoyan
;
Popovici Emanuel
;
Cotafona Sorin
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Benchmark testing;
Error probability;
Integrated circuit reliability;
Logic gates;
Optimization;
Simulation;
ABC Tool;
And-Invert Graphs (AIG);
Local Transformation Rules;
Optimization;
Reliability;
Rewriting;
Synthesis;
44.
Design and implementation of novel source synchronous interconnection in modern GPU chips
机译:
现代GPU芯片中新型源同步互连的设计与实现
作者:
Li Tao
;
Sadowski Greg
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Amplitude modulation;
Clocks;
Encoding;
Energy dissipation;
Graphics processing units;
Power demand;
Repeaters;
AOCV;
GALS;
GPU;
MDR;
NoC;
OCV;
SSB;
ToF;
45.
A reconfigurable 0-L
1
-L
2
S-MASH
2
modulator with high-level sizing and power estimation
机译:
具有高级大小调整和功率估计功能的可重构0-L
1 inf> -L
2 inf> S-MASH
2 sup>调制器
作者:
K N Abhilash
;
Srinivas M.B.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
3G mobile communication;
GSM;
Gain;
Modulation;
Multi-stage noise shaping;
Standards;
Wireless LAN;
0-Linf1/inf-Linf2/inf S-MASHsup2/sup;
GSM;
MASH;
SMASH;
UMTS;
WLAN;
WiMAX;
sigma-delta modulator;
46.
A framework for specifying, modeling, implementation and verification of SOC protocols
机译:
用于指定,建模,实现和验证SOC协议的框架
作者:
Ikram Shahid
;
Asher David
;
Akkawi Isam
;
Perveiler Jack
;
Ellis Jim
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Computer bugs;
Formal verification;
Predictive models;
Protocols;
Sockets;
System recovery;
System-on-chip;
Cache coherence;
Formal verification;
Functional coverage;
Functional verification;
Protocols modeling;
47.
Design of a 9-bit 1GS/s CMOS folding A/D converter with a boundary error reduction technique
机译:
具有边界误差减小技术的9位1GS / s CMOS折叠式A / D转换器的设计
作者:
Hwang Jongyoon
;
Kim Dongjoo
;
Lee Mun-Kyo
;
Nah Sun-Phil
;
Song Minkyu
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Encoding;
Error correction;
Frequency measurement;
Power demand;
Semiconductor device measurement;
CMOS folding ADC;
boundary error reduction technique;
enhanced digital architecture;
48.
Variation-aware Flip-Flop energy optimization for ultra low voltage operation
机译:
用于超低压运行的变量感知触发器能量优化
作者:
Kamakari Tatsuya
;
Nishizawa Shinichi
;
Ishihara Tohru
;
Onodera Hidetoshi
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Energy consumption;
Estimation;
Integrated circuit modeling;
Latches;
Logic gates;
Monte Carlo methods;
Transistors;
49.
A configurable packet classification architecture for Software-Defined Networking
机译:
用于软件定义网络的可配置数据包分类体系结构
作者:
Guerra Perez K.
;
Yang X.
;
Scott-Hayward S.
;
Sezer S.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Algorithm design and analysis;
Classification algorithms;
Hardware;
IP networks;
Memory management;
Software algorithms;
Packet Classification;
Software-Defined Network;
configurable lookup architecture;
lookup algorithms;
50.
Run-time voltage detection circuit for 3-D IC power delivery
机译:
用于3-D IC供电的运行时电压检测电路
作者:
Pathak Divya
;
Savidis Ioannis
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
3-D integration;
IC power delivery;
heterogeneous circuits;
voltage detection;
51.
A body-bias based current sense amplifier for high-speed low-power embedded SRAMs
机译:
基于体偏置的电流检测放大器,用于高速低功耗嵌入式SRAM
作者:
Shakir Tahseen
;
Sachdev Manoj
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Computer architecture;
Microprocessors;
SRAM cells;
Sensors;
Transistors;
Current sense Amplifier;
body bias;
low power SRAM;
read assist;
soft failure;
52.
Solar-supercapacitor harvesting system design for energy-aware applications
机译:
用于能源意识应用的太阳能超级电容器收集系统设计
作者:
Hassanalieragh Moeen
;
Soyata Tolga
;
Nadeau Andrew
;
Sharma Gaurav
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Current measurement;
Regulators;
Standards;
53.
Compensating imperfections in RF-DAC based transmitters using LUT-based predistortion
机译:
使用基于LUT的预失真补偿基于RF-DAC的发射机中的缺陷
作者:
Mohr Bastian
;
Zhang Ye
;
Mueller Jan Henning
;
Heinen Stefan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Least squares approximations;
Mathematical model;
Microprocessors;
Predistortion;
Radio transmitters;
Table lookup;
54.
T3B: Recent advancements in fiber optic transmission enabled by highly integrated mixed signal SoC and advanced digital signal processing
机译:
T3B:高度集成的混合信号SoC和高级数字信号处理实现了光纤传输的最新进展
作者:
Sun Han
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Sun;
55.
A new design methodology for Voltage-to-Time Converters (VTCs) circuits suitable for Time-based Analog-to-Digital Converters (T-ADC)
机译:
适用于基于时间的模数转换器(T-ADC)的电压至时间转换器(VTC)电路的新设计方法
作者:
Wagih Ismail M.
;
Mostafa Hassan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Clocks;
Design methodology;
Dynamic range;
Linearity;
Sensitivity;
Threshold voltage;
Transistors;
Nanometer CMOS technology;
analog-to-digital converter;
design methodology;
linearity;
software defined radio;
voltage-to-time converter;
56.
Keynote speaker: “The Internet of Every-Thing: EDA perspectives”
机译:
主旨演讲者:“万物互联:EDA的观点”
作者:
Beckley Tom
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
Companies;
Industries;
Printed circuits;
57.
An all-digital on-chip abnormal temperature warning sensor for dynamic thermal management
机译:
用于动态热管理的全数字片上异常温度警告传感器
作者:
Chung Ching-Che
;
Li Jhih-Wei
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Calibration;
Delays;
Ring oscillators;
System-on-chip;
Temperature sensors;
Thermal management;
Calibration;
circuit reliability;
delay circuits;
dynamic thermal management;
relative reference modeling;
sensors;
58.
A low complexity multi standard dual band CMOS polar transmitter for smart utility networks
机译:
用于智能公用事业网络的低复杂度多标准双频段CMOS极性发射器
作者:
Mueller Jan Henning
;
Zhang Ye
;
Liao Lei
;
Atac Aytac
;
Chen Zhimiao
;
Mohr Bastian
;
Heinen Stefan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Computer architecture;
Digital signal processing;
Power generation;
Standards;
Transceivers;
Transmitters;
59.
An accelerated successive approximation technique for analog to digital converter design
机译:
用于模数转换器设计的加速逐次逼近技术
作者:
Wang Haibo
;
Radhakrishnan Ram Harshvardhan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Acceleration;
Delays;
Partial discharges;
Power demand;
Registers;
Upper bound;
Voltage control;
60.
Flow control solution for efficient communication and congestion avoidance in NoC
机译:
在NoC中实现高效通信和避免拥塞的流量控制解决方案
作者:
Aldammas Ahmed
;
Soudani Adel
;
Al-Dhelaan Abdullah
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Hardware;
Memory management;
Ports (Computers);
Power demand;
Quality of service;
Routing;
Memory management;
Micro-architecture;
Network-on-chip;
Quality-of-service;
WRED;
61.
Very fast co-simulation model and accurate on-the-fly performance estimation methodology for heterogeneous MPSoC
机译:
异构MPSoC的非常快速的联合仿真模型和准确的实时性能评估方法
作者:
Serna Nicolas
;
Verdier Francois
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Computational modeling;
Estimation;
Hardware;
Optimization;
Program processors;
System-on-chip;
Co-Design;
Cosimulation;
Embedded Systems Designs;
High-level consumption and time estimations;
MPRSoC;
OS services exploration;
62.
T3A: Design and managements of multiprocessor systems-on-chips
机译:
T3A:多处理器片上系统的设计和管理
作者:
Ogras Umit Y.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Awards activities;
Computational modeling;
Computers;
Educational institutions;
Laboratories;
63.
Keynote speaker
机译:
主讲人
作者:
Pawlowski J.Thomas
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
Performance evaluation;
64.
Emerging memristor technology enabled next generation cortical processor
机译:
新兴的忆阻器技术支持下一代皮质处理器
作者:
Li Hai
;
Hu Miao
;
Liu Xiaoxiao
;
Mao Mengjie
;
Li Chuandong
;
Duan Shukai
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Arrays;
Hardware;
Memristors;
Neuromorphics;
Neurons;
Training;
65.
Flexible reconfigurable architecture for DSP applications
机译:
适用于DSP应用的灵活的可重新配置架构
作者:
Obeid Abdulfattah M.
;
Qasim Syed Manzoor
;
BenSaleh Mohammed S.
;
Marrakchi Zied
;
Mehrez Habib
;
Ghariani Heni
;
Abid Mohamed
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Application specific integrated circuits;
Arrays;
Digital signal processing;
Field programmable gate arrays;
Multiplexing;
Reconfigurable architectures;
Routing;
Coarse-grained architecture;
DSP applications;
FPGA;
flexible;
reconfigurable architecture;
66.
Towards platform level power management in mobile systems
机译:
迈向移动系统平台级电源管理
作者:
Kadjo David
;
Ogras Umit
;
Ayoub Raid
;
Kishinevsky Michael
;
Gratz Paul
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Central Processing Unit;
Energy consumption;
Frequency control;
Mobile communication;
Power demand;
Quality of service;
Scalability;
67.
Banquet speaker
机译:
宴会音箱
作者:
Eremenko Paul
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
Google;
Mobile communication;
Organizations;
Smart phones;
Technological innovation;
68.
Heterogeneous photonic Network-on-Chip with dynamic bandwidth allocation
机译:
动态带宽分配的异构光子片上网络
作者:
Shah Ankit
;
Mansoor Naseef
;
Johnstone Ben
;
Ganguly Amlan
;
Alarcon Sonia Lopez
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Bandwidth;
Channel allocation;
Dynamic scheduling;
Multicore processing;
Photonics;
Traffic control;
Network-on-Chip;
heterogeneous systems;
photonic interconnect;
69.
A systematic methodology to design high power terahertz and submillimeter-wave amplifiers
机译:
设计大功率太赫兹和亚毫米波放大器的系统方法
作者:
Moghadami Siavash
;
JalaiBidgoli Farzaneh
;
Ardalan Shahab
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Gain;
Inductors;
Semiconductor device modeling;
Stability analysis;
Transistors;
Amplifier;
analog;
finfmax/inf;
high power;
integrated circuits;
microwave;
sub-millimeterwave;
terahertz;
70.
MITH-Dyn: A multi V
th
dynamic logic design style using mixed mode FinFETs
机译:
MITH-Dyn:使用混合模式FinFET的多重V
inf>动态逻辑设计风格
作者:
Nair Ramesh
;
Vemuri Ranga
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Clocks;
FinFETs;
Logic gates;
Pipelines;
Power demand;
FinFETs;
dynamic logic;
leakage power;
multi Vinfth/inf;
71.
Benefits and costs of prediction based DVFS for NoCs at router level
机译:
路由器级NoC的基于预测的DVFS的收益和成本
作者:
Ababei Cristinel
;
Mastronarde Nicholas
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Clocks;
Switches;
Tuning;
congestion;
dynamic voltage and frequency scaling;
network-on-chip;
power consumption;
prediction;
72.
A novel ratioed logic style for faster subthreshold digital circuits based on 90 nm CMOS and below
机译:
一种新颖的比例逻辑样式,用于基于90 nm CMOS及以下的更快亚阈值数字电路
作者:
Shi Weiwei
;
Choy Chiu-Sing
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Capacitance;
Delays;
Logic gates;
MOS devices;
Power supplies;
73.
Low-power high-speed on-chip asynchronous Wave-pipelined CML SerDes
机译:
低功耗高速片上异步波形流水线CML SerDes
作者:
Jaiswal Ashok
;
walk Dominik
;
Fang Yuan
;
Hofmann Klaus
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
CMOS integrated circuits;
Delays;
Integrated circuit interconnections;
Latches;
Synchronization;
System-on-chip;
Transistors;
74.
Message from program chairs
机译:
节目主持人的话
作者:
Buechner Thomas
;
Zhao Danella
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Europe;
75.
Design methodology of process variation tolerant D-Flip-Flops for low voltage circuit operation
机译:
低压电路操作中可耐受过程变化的D型触发器的设计方法
作者:
Nishizawa Shinichi
;
Ishihara Tohru
;
Onodera Hidetoshi
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Clocks;
Delays;
Inverters;
Latches;
Monte Carlo methods;
Threshold voltage;
Transistors;
76.
Electromyograph data acquisition and application using Cypress Programmable System on Chip
机译:
使用赛普拉斯片上可编程系统的肌电图数据采集和应用
作者:
Salunke Shreeyash
;
Darne Shreyas
;
Shah Keval
;
Dhamapurkar Rishikesh
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Data acquisition;
Electromyography;
Finite impulse response filters;
Hardware;
Instruments;
Microcontrollers;
Prosthetics;
77.
A 25.5mW 10Gb/s inductorless receiver with an adaptive front-end in 0.13 µm CMOS.
机译:
一个25.5mW的10Gb / s无电感器接收器,带有0.13 µm CMOS自适应前端。
作者:
Monga Sushrant
;
Chatterjee Shouri
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Calibration;
Feedback loop;
Frequency response;
Gain;
Negative feedback;
Receivers;
Transconductance;
Gbps;
continuous time equalizer;
decision feedback;
frequency response;
serial link receiver;
78.
A low supply voltage mixed-signal maximum power point tracking controller for photovoltaic power system
机译:
用于光伏发电系统的低电源电压混合信号最大功率点跟踪控制器
作者:
Chiang Jun-Hua
;
Liu Bin-Da
;
Chen Shih-Ming
;
Yang Hong-Tzer
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Decoding;
Digital control;
Integrated circuits;
Linearity;
Maximum power point trackers;
Photovoltaic systems;
Voltage control;
maximum power point trackers;
mixed-signal analog and digital integrated circuits;
photovoltaic system;
79.
Resistorless on-die high voltage power supply noise measurement
机译:
无电阻片上高压电源噪声测量
作者:
Dua Raj S.
;
Katare Siddharth
;
Natarajan Narayanan
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Microprocessors;
Noise;
Noise measurement;
Power supplies;
Voltage control;
Voltage measurement;
Voltage-controlled oscillators;
Power system harmonics;
supply noise detection;
voltage measurement;
80.
A power efficient reconfigurable system-in-stack: 3D integration of accelerators, FPGAs, and DRAM
机译:
高效节能的可重新配置系统级堆栈:加速器,FPGA和DRAM的3D集成
作者:
Gadfort Peter
;
Dasu Aravind
;
Akoglu Ali
;
Leow Yoon Kah
;
Fritze Michael
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Benchmark testing;
Computer architecture;
Field programmable gate arrays;
Random access memory;
Switches;
Three-dimensional displays;
Video recording;
81.
Adaptive multicast routing method for 3D mesh-based Networks-on-Chip
机译:
基于3D网格的片上网络的自适应组播路由方法
作者:
Bahrebar Poona
;
Jalalvand Azarakhsh
;
Stroobandt Dirk
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Indexes;
Labeling;
System recovery;
TV;
Three-dimensional displays;
3D NoCs;
Hamiltonian routing strategy;
deadlock;
multicast;
path-based partitioning methods;
turn model;
82.
T1A: Opportunities and challenges for secure hardware and verifying trust in integrated circuits
机译:
T1A:安全硬件和验证集成电路信任度的机遇与挑战
作者:
Tehranipoor Mohammad
;
Knapp Charles
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Engineering profession;
83.
Thermal-aware memory management unit of 3D-stacked DRAM for 3D high definition (HD) video
机译:
用于3D高清晰度(HD)视频的3D堆叠DRAM的热感知内存管理单元
作者:
Chang Chih-Yuan
;
Huang Po-Tsang
;
Chen Yi-Chun
;
Chang Tian-Sheuan
;
Hwang Wei
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Computational modeling;
High definition video;
Random access memory;
Solid modeling;
TV;
Three-dimensional displays;
Timing;
84.
Time stretcher for a time-to-digital converter with a precisely matched current mirror
机译:
具有精确匹配电流镜的时间数字转换器的时间扩展器
作者:
Tanveer Muhammad
;
Borg Johan
;
Johansson Jonny
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Capacitors;
Impedance;
Layout;
Linearity;
MOS devices;
Mirrors;
Transistors;
Time-to-digital converter;
analogue time expansion;
comparator;
current mirror;
stretch factor;
time stretcher;
85.
CM_ISA++: An instruction set for dynamic task scheduling units for more than 1000 cores
机译:
CM_ISA ++:用于超过1000个内核的动态任务调度单元的指令集
作者:
Arnold Oliver
;
Noethen Benedikt
;
Fettweis Gerhard
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Dynamic scheduling;
Prefetching;
Processor scheduling;
Resource management;
Runtime;
86.
Message from conference general chair
机译:
大会主席致辞
作者:
Shi Kaijian
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Committees;
Conferences;
Industries;
Organizing;
Speech;
System-on-chip;
Tutorials;
87.
Plenary speaker: “SoCs for Mobile Applications: Systems from 0 MPH to over 100 MPH”
机译:
全体演讲嘉宾:“用于移动应用的SoC:从0 MPH到100 MPH以上的系统”
作者:
Runner Scott
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Abstracts;
Arrays;
Industries;
Smart phones;
88.
A new approach using symbolic analysis to compute path-dependent effective properties preserving hierarchy
机译:
一种使用符号分析来计算与路径相关的有效属性的方法
作者:
Srinivasan Sridhar
;
Cohen Ellis
;
Hofmann Mark
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Algorithm design and analysis;
Electrostatic discharges;
Logic gates;
Performance evaluation;
Resistance;
Resistors;
System-on-chip;
Design Rule Checks (DRC);
Electrical Overstress (EOS);
Electrical Rule Checks (ERC);
Electronic Design Automation (EDA);
Electrostatic Discharge (ESD);
Hard IP (HIP);
Intellectual Property(IP);
Layout-vs-Schematic (LVS);
System-On-Chip (SOC);
89.
Wiring resource minimization for physically-complex Network-on-Chip architectures
机译:
为物理复杂的片上网络体系结构布线资源最小化
作者:
Kani Nickvash
;
Naeemi Azad
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Clocks;
Delays;
Metals;
Optimized production technology;
Wires;
Wiring;
90.
Errors in solving inverse problem for reversing RTN effects on VCCmin shift in SRAM reliability screening test designs
机译:
在SRAM可靠性筛选测试设计中解决逆向问题以逆转RTN对VCCmin偏移的影响时出现的错误
作者:
Yamauchi Hiroyuki
;
Somha Worawit
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Convolution;
Deconvolution;
Fitting;
MATLAB;
Noise;
Random access memory;
Deconvolution;
MATLAB-deconvolution function;
Random telegraph noise;
SRAM margin variation;
91.
REFLEX: Reconfigurable logic for entropy extraction
机译:
REFLEX:用于熵提取的可重新配置逻辑
作者:
Suresh Vikram B.
;
Burleson Wayne P.
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Calibration;
Entropy;
Logic functions;
Noise;
Radiation detectors;
Reconfigurable logic;
Switching circuits;
Entropy extraction;
Reconfigurable Logic;
TRNG;
92.
A 10-bit 250MS/s low-glitch binary-weighted digital-to-analog converter
机译:
10位250MS / s低毛刺二进制加权数模转换器
作者:
Chou Fang-Ting
;
Chen Zong-Yi
;
Hung Chung-Chih
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Arrays;
CMOS integrated circuits;
Clocks;
Delays;
Latches;
Logic gates;
Binary-weighted;
Current Mode;
DAC;
Low glitch;
93.
SoC Scan-Chain verification utilizing FPGA-based emulation platform and SCE-MI interface
机译:
利用基于FPGA的仿真平台和SCE-MI接口进行SoC扫描链验证
作者:
Tomas Bill Jason
;
Jiang Yingtao
;
Yang Mei
会议名称:
《》
|
2014年
关键词:
Acceleration;
Clocks;
Emulation;
Field programmable gate arrays;
Hardware;
Ports (Computers);
Software;
94.
Multilayer layer graphene nanoribbon flash memory: Analysis of programming and erasing operation
机译:
多层石墨烯纳米带闪存:编程和擦除操作分析
作者:
Hossain Nahid M.
;
Hossain Md Belayat
;
Chowdhury Masud H
会议名称:
《IEEE International System on Chip Conference》
|
2014年
关键词:
Flash memories;
Logic gates;
Nonvolatile memory;
Programming;
Transistors;
Tunneling;
Voltage control;
Carbon Nanotube (CNT);
Flash Memory;
Floating Gate Transistor;
Multilayer Graphene Nanoribbon (MLGNR);
Tunneling Current;
95.
A process-variation-aware multi-scenario high-level synthesis algorithm for distributed-register architectures
机译:
分布式寄存器架构的过程 - 变异感知多场景高级合成算法
作者:
Koki Igawa
;
Youhua Shi
;
Masao Yanagisawa
;
Nozomu Togawa
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
hdr architecture;
high-level synthesis;
interconnection delay;
process variation;
scenario;
96.
A 6T SRAM cell based pipelined 2R/1W memory design using 28nm UTBB-FDSOI
机译:
基于6T SRAM单元的流水线2R / 1W存储器设计,使用28nm UTBB-FDSOI
作者:
Ramandeep Kaur
;
Alexander Fell
;
Harsh Rawat
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
2R/1W;
6T;
SRAM;
dual-port;
single-port;
97.
???Venice: A cost-effective architecture for datacenter servers???
机译:
???威尼斯:数据中心服务器的经济高效架构???
作者:
Rui Hou
会议名称:
《IEEE International System on Chip Conference》
|
2015年
98.
Session T4A: Tutorial: Tiny DC-sourced single inductor charge-supply ICs
机译:
会话T4A:教程:微型直流电单电感器充电IC
作者:
Gabriel Rincon-Mora
会议名称:
《IEEE International System on Chip Conference》
|
2015年
99.
A novel fault-tolerant router architecture for network-on-chip reconfiguration
机译:
一种用于网络上重新配置的新型容错路由器架构
作者:
Pengzhan Yan
;
Shixiong Jiang
;
Ramalingam Sridhar
会议名称:
《IEEE International System on Chip Conference》
|
2015年
100.
Session T1B: Tutorial: SoC testing
机译:
会话T1B:教程:SOC测试
作者:
Yu Huang
;
Janusz Rajski
会议名称:
《IEEE International System on Chip Conference》
|
2015年
意见反馈
回到顶部
回到首页