...
首页> 外文期刊>IEEE transactions on very large scale integration (VLSI) systems >Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
【24h】

Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip

机译:分布式传感器片上网络,用于软容错多处理器片上系统的性能优化

获取原文
获取原文并翻译 | 示例
           

摘要

As transistor density continues to increase with the advent of nanotechnology, reliability issues raised by more frequently appeared soft errors are becoming even more critical to the next-generation multiprocessor systems. In this paper, we present a systematic approach to address the soft-error problem in multiprocessor system-on-chip with the consideration of system performance optimization. To guarantee the system correctness, a hardware–software collaborated approach is proposed to protect the processors from soft errors. Tiny hardware sensors are embedded in the processor cores to detect the soft errors, and the software-based rollback scheduling mechanisms are applied for error recovery. The protection costs on hardware duplication and software redundancy are effectively reduced. To optimize the system performance, a distributed control system is built on top of the on-chip communication network and collaboratively manages the entire chip for application execution. With the cluster-based task migration techniques, an efficient runtime task remapping and rescheduling algorithm is proposed to further mitigate the overheads induced by soft-error protection and to minimize the total performance degradation. The distributed control strategy makes the system more adaptable and flexible to the development of the next-generation hardware and software with larger scales. Extensive performance evaluations using SystemC-based cycle-accurate simulations on a set of real-world applications show that our approach has on average 49% performance improvement and 79.6% energy consumption reduction compared with the related state-of-the-art techniques, and hardware synthesis results show that our approach only introduces 2.9% chip area overheads.
机译:随着晶体管的密度随着纳米技术的出现而不断增加,由频繁出现的软错误引起的可靠性问题对于下一代多处理器系统而言变得越来越关键。在本文中,我们考虑系统性能优化,提出了一种解决多处理器片上系统中软错误问题的系统方法。为了保证系统的正确性,提出了一种软硬件协作的方法来保护处理器免受软错误的影响。微小的硬件传感器被嵌入到处理器内核中以检测软错误,并且基于软件的回滚调度机制被应用于错误恢复。有效降低了硬件复制和软件冗余的保护成本。为了优化系统性能,在片上通信网络之上构建了一个分布式控制系统,该系统可协同管理整个芯片以执行应用程序。利用基于集群的任务迁移技术,提出了一种有效的运行时任务重映射和重新调度算法,以进一步减轻由软错误保护引起的开销并最大程度地降低总体性能。分布式控制策略使系统对更大规模的下一代硬件和软件的开发更具适应性和灵活性。使用基于SystemC的周期精确仿真对一组实际应用进行的广泛性能评估表明,与相关的最新技术相比,我们的方法平均可将性能提高49%,将能耗降低79.6%,并且硬件综合结果表明,我们的方法仅引入2.9%的芯片面积开销。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号