首页> 外文期刊>IEEE Journal of Solid-State Circuits >Energy-Efficient Reconfigurable SRAM: Reducing Read Power Through Data Statistics
【24h】

Energy-Efficient Reconfigurable SRAM: Reducing Read Power Through Data Statistics

机译:节能可重配置SRAM:通过数据统计数据降低读取功率

获取原文
获取原文并翻译 | 示例
           

摘要

This paper introduces a framework for designing data-dependent SRAMs taking advantage of statistical dependencies present in the binary values processed and stored in the intermediary stages of various algorithms. To demonstrate the framework, a reconfigurable conditional precharge (CP) SRAM is designed in a 28-nm fully-depleted silicon-on-insulator CMOS process. To reduce read power consumption, the SRAM reconfigures its prediction scheme for each column as the data statistics evolve. A 10T bit cell, a prediction-based CP circuit, and a compact column circuit implemented in a 16-kbit SRAM test chip demonstrate the power savings of 63%, 50%, and up to 69% for the applications sparse fast Fourier transform, object detection, and motion estimation, respectively, as compared with similar memories with naive prediction. Analysis tools for optimal prediction selection for the presented class of low-power memories are also provided.
机译:本文介绍了一个框架,该框架可利用各种算法的中间阶段中处理和存储的二进制值中存在的统计依赖性来设计数据相关的SRAM。为了演示该框架,在28nm完全耗尽的绝缘体上硅CMOS工艺中设计了可重新配置的条件预充电(CP)SRAM。为了减少读取功耗,随着数据统计数据的发展,SRAM为每列重新配置其预测方案。在16 kbit SRAM测试芯片中实现的10T位单元,基于预测的CP电路和紧凑型列电路证明,对于稀疏的快速傅立叶变换应用,其节电能力分别为63%,50%和高达69%,与具有天真预测的类似内存相比,分别进行了目标检测和运动估计。还提供了针对所提出的低功耗存储器类别的最佳预测选择的分析工具。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号