首页> 外文期刊>IEEE Journal of Solid-State Circuits >A Compact-Area Low-VDDmin 6T SRAM With Improvement in Cell Stability, Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme
【24h】

A Compact-Area Low-VDDmin 6T SRAM With Improvement in Cell Stability, Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme

机译:紧凑型低VDDmin 6T SRAM,采用双分裂控制辅助方案,提高了单元稳定性,读取速度和写入余量

获取原文
获取原文并翻译 | 示例
       

摘要

Previous 6T SRAMs commonly employ a wordline voltage underdrive (WLUD) scheme to suppress half-select (HS) disturbs in read and write cycles, at the expense of reduced cell read current ( ) and degraded write margin (WM). This paper proposes the dual-split-control (DSC) scheme, including split WLs and split cell VSS (CVSS), for 6T SRAM to maintain a compact cell area and improve HS cell stability during the read and write cycles without degrading and WM. A segmented CVSS-strapping scheme is developed to suppress the ground bounce on the split-CVSS lines. The CVSS voltage for S6T can be generated by either a constant voltage source or a charge-sharing-based CVSS generation scheme. A 28-nm 256-kb DSC6T SRAM macro was fabricated and achieves a 280-mV lower VDDmin than a conventional 6T SRAM.
机译:先前的6T SRAM通常采用字线电压欠驱动(WLUD)方案来抑制读写周期中的半选择(HS)干扰,但以降低单元读取电流()和降低写入余量(WM)为代价。本文提出了一种双分裂控制(DSC)方案,包括分裂WL和分裂单元VSS(CVSS),用于6T SRAM,以在读取和写入周期内保持紧凑的单元面积并提高HS单元稳定性,而不会降低WM。开发了分段的CVSS绑带方案以抑制CVSS分割线上的接地反弹。 S6T的CVSS电压可以通过恒定电压源或基于电荷共享的CVSS生成方案生成。制作了一个28nm 256kb DSC6T SRAM宏,它比传统的6T SRAM的VDDmin低280mV。

著录项

相似文献

  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号