首页> 外文期刊>Micro >Applied touts low-k progress
【24h】

Applied touts low-k progress

机译:应用兜售低k进度

获取原文
获取原文并翻译 | 示例
           

摘要

"Tremendous challenges," "numerous roadblocks," "trashed schedules"―those are just some of the colorful expressions that have been used to describe the difficulty of integrating low-k dielectric materials into IC manufacturing processes. Last spring, after intensive R&D efforts, reams of conference papers, and raging debates between advocates of the spin-on dielectric approach and chemical vapor deposition technology, a host of companies announced that they were beginning volume shipments of chips incorporating low-k Agere Systems, LSI Logic, Motorola, TSMC, Texas Instruments, IBM, and UMC all reported that they were manufacturing―or were on the verge of manufacturing―IC products with low-k dielectrics at the 130-nm and even the 90-nm nodes.
机译:“巨大的挑战”,“众多的障碍”,“失败的时间表”-这些只是用来描述将低k介电材料集成到IC制造过程中的困难的一些生动表达。去年春天,经过大量的研发工作,大量会议论文,以及自旋介电法和化学气相沉积技术的倡导者之间激烈的辩论,许多公司宣布,他们开始批量生产采用低k Agere Systems的芯片LSI Logic,摩托罗拉,台积电(TSMC),德州仪器(Texas Instruments),IBM和联电(UMC)均报告说,他们正在制造或即将制造具有130-nm甚至90-nm节点的低k电介质的IC产品。

著录项

  • 来源
    《Micro》 |2004年第2期|p.28-29|共2页
  • 作者

  • 作者单位
  • 收录信息 美国《科学引文索引》(SCI);美国《工程索引》(EI);
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类 环境科学、安全科学;
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号