...
首页> 外文期刊>IEEE Transactions on Semiconductor Manufacturing >Design Impacts of Back-End-of-Line Line Edge Roughness
【24h】

Design Impacts of Back-End-of-Line Line Edge Roughness

机译:后端线边缘粗糙度的设计影响

获取原文
获取原文并翻译 | 示例

摘要

One of the main issues of EUV lithography is Line Edge Roughness (LER) on photo-resists, which significantly impacts yield at sub-30 nm pitches. In this work, an analytical model of LER is presented and analyzed for yield loss induced by open/short failures, cut mask defects, enhanced time dependent dielectrics breakdown (TDDB) failures for metal wires with different geometries, electro-migration (EM) impacts from the presence of LER on SRAM bitlines, and finally, LER impacts on functional errors. The model will be evaluated on single and double patterned designs with metal pitches of 24 and 28 nanometers. We show experimental results and give specific criteria in which LER thresholds can be relaxed without negatively impacting yield and path delay. This is a critical issue as higher LER tolerance allows exponential increase in throughput and thus reduces cost of fabrication.
机译:EUV光刻的主要问题之一是光刻胶上的线边缘粗糙度(LER),这会严重影响低于30 nm间距的良率。在这项工作中,提出了LER的分析模型,并分析了由开/短路故障,切割掩模缺陷,具有不同几何形状的金属线引起的时间依赖性电介质击穿(TDDB)故障的增强时间损耗,电迁移(EM)影响引起的良率损失由于SRAM位线上存在LER,最后,LER影响了功能错误。该模型将在金属间距为24和28纳米的单图案和双图案设计上进行评估。我们显示了实验结果,并给出了可以放宽LER阈值而又不负面影响良率和路径延迟的特定标准。这是一个关键问题,因为更高的LER容差可实现吞吐量的指数增长,从而降低了制造成本。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号