...
首页> 外文期刊>Computer Architecture Letters >Power Management of Datacenter Workloads Using Per-Core Power Gating
【24h】

Power Management of Datacenter Workloads Using Per-Core Power Gating

机译:使用每核电源门控的数据中心工作负载的电源管理

获取原文
           

摘要

While modern processors offer a wide spectrum of software-controlled power modes, most datacenters only rely on Dynamic Voltage and Frequency Scaling (DVFS, a.k.a. P-states) to achieve energy efficiency. This paper argues that, in the case of datacenter workloads, DVFS is not the only option for processor power management. We make the case for per-core power gating (PCPG) as an additional power management knob for multi-core processors. PCPG is the ability to cut the voltage supply to selected cores, thus reducing to almost zero the leakage power for the gated cores. Using a testbed based on a commercial 4-core chip and a set of real-world application traces from enterprise environments, we have evaluated the potential of PCPG. We show that PCPG can significantly reduce a processor''s energy consumption (up to 40%) without significant performance overheads. When compared to DVFS, PCPG is highly effective saving up to 30% more energy than DVFS. When DVFS and PCPG operate together they can save up to almost 60%.
机译:尽管现代处理器提供了广泛的软件控制的功率模式,但大多数数据中心仅依靠动态电压和频率缩放(DVFS,又称P状态)来实现能源效率。本文认为,在数据中心工作负载的情况下,DVFS不是处理器电源管理的唯一选择。我们将每核电源门控(PCPG)作为多核处理器的附加电源管理旋钮。 PCPG具有切断选定核心的电源的能力,从而将门控核心的泄漏功率降低到几乎为零。我们使用基于商用4核芯片的测试平台以及来自企业环境的一组实际应用跟踪,我们评估了PCPG的潜力。我们证明PCPG可以显着降低处理器的能耗(最多40%),而不会产生明显的性能开销。与DVFS相比,PCPG的节能效果比DVFS高出30%。 DVFS和PCPG一起运行时,它们最多可以节省60%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号