...
首页> 外文期刊>Journal of Low Power Electronics and Applications >Design of a Wideband Antenna for Wireless Network-On-Chip in Multimedia Applications
【24h】

Design of a Wideband Antenna for Wireless Network-On-Chip in Multimedia Applications

机译:多媒体应用中片上无线网络宽带天线的设计

获取原文
           

摘要

To allow fast communication—at several Gb/s—of multimedia content among processors and memories in a multi-processor system-on-chip, a new approach is emerging in literature: Wireless Network-on-Chip (WiNoC). With reference to this scenario, this paper presents the design of the key element of the WiNoC: the antenna. Specifically, a bow-tie antenna is proposed, which operates at mm-waves and can be implemented on-chip using the top metal layer of a conventional silicon CMOS (Complementary Metal Oxide Semiconductor) technology. The antenna performance is discussed in the paper and is compared to the state-of-the-art, including the zig-zag antenna topology that is typically used in literature as a reference for WiNoC. The proposed bow-tie antenna design for WiNoC stands out for its good trade-off among bandwidth, gain, size and beamwidth vs. the state-of-the-art.
机译:为了允许多处理器片上系统中的处理器和存储器之间以几Gb / s的速度快速传输多媒体内容,文献中出现了一种新方法:无线片上网络(WiNoC)。参照这种情况,本文介绍了WiNoC的关键要素:天线。具体地,提出了蝶形天线,其以毫米波工作并且可以使用常规硅CMOS(互补金属氧化物半导体)技术的顶部金属层在芯片上实现。本文讨论了天线性能,并将其与最新技术进行了比较,包括锯齿形天线拓扑,该拓扑通常在文献中用作WiNoC的参考。提议的WiNoC领结天线设计在带宽,增益,大小和波束宽度与最新技术之间的良好折衷中脱颖而出。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号