...
首页> 外文期刊>ACM transactions on reconfigurable technology and systems >Efficient Fault-Tolerant Topology Reconfiguration Using a Maximum Flow Algorithm
【24h】

Efficient Fault-Tolerant Topology Reconfiguration Using a Maximum Flow Algorithm

机译:使用最大流量算法的高效容错拓扑重新配置

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

With an increasing number of processing elements (PEs) integrated on a single chip, fault-tolerant techniques are critical to ensure the reliability of such complex systems. In current reconfigurable architectures, redundant PEs are utilized for fault tolerance. In the presence of faulty PEs, the physical topologies of various chips may be different, so the concept of virtual topology from network embedding problem has been used to alleviate the burden for the operating systems. With limited hardware resources, how to reconfigure a system into the most effective virtual topology such that the maximum repair rate can be reached presents a significant challenge. In this article, a new approach using a maximum flow (MF) algorithm is proposed for an efficient topology reconfiguration in reconfigurable architectures. In this approach, topology reconfiguration is converted into a network flow problem by constructing a directed graph; the solution is then found by using the MF algorithm. This approach optimizes the use of spare PEs with minimal impacts on area, throughput, and delay, and thus it significantly improves the repair rate of faulty PEs. In addition, it achieves a polynomial reconfiguration time. Experimental results show that compared to previous methods, the MF approach increases the probability to repair faulty PEs by up to 50% using the same redundant resources. Compared to a fault-free system, the throughput only decreases by less than 2.5% and latency increases by less than 4%. To consider various types of PEs in a practical application, a cost factor is introduced into the MF algorithm. An enhanced approach using a minimum-cost MF algorithm is further shown to be efficient in the fault-tolerant reconfiguration of heterogeneous reconfigurable architectures.
机译:随着越来越多的处理元件(PE)集成在单个芯片上,容错技术对于确保此类复杂系统的可靠性至关重要。在当前的可重配置架构中,冗余的PE用于容错。在存在故障的PE的情况下,各种芯片的物理拓扑可能会有所不同,因此已使用来自网络嵌入问题的虚拟拓扑的概念来减轻操作系统的负担。在硬件资源有限的情况下,如何将系统重新配置为最有效的虚拟拓扑,从而可以达到最大的修复率,这是一个巨大的挑战。在本文中,提出了一种使用最大流(MF)算法的新方法,用于在可重配置体系结构中进行有效的拓扑重配置。在这种方法中,通过构造有向图将拓扑重新配置转换为网络流量问题。然后使用MF算法找到解决方案。这种方法优化了备用PE的使用,而对面积,吞吐量和延迟的影响最小,因此可以显着提高故障PE的修复率。另外,它实现了多项式重新配置时间。实验结果表明,与以前的方法相比,使用相同的冗余资源,MF方法将修复故障PE的可能性提高了多达50%。与无故障的系统相比,吞吐量仅降低了不到2.5%,延迟增加了不到4%。为了在实际应用中考虑各种类型的PE,将成本因素引入MF算法。使用最小成本MF算法的增强方法还被证明在异构可重配置体系结构的容错重配置中是有效的。

著录项

  • 来源
  • 作者单位

    Tsinghua Univ, Inst Microelect, Beijing 100084, Peoples R China|Tsinghua Univ, Natl Lab Informat Sci & Technol, Beijing 100084, Peoples R China;

    Tsinghua Univ, Inst Microelect, Beijing 100084, Peoples R China|Tsinghua Univ, Natl Lab Informat Sci & Technol, Beijing 100084, Peoples R China;

    Tsinghua Univ, Inst Microelect, Beijing 100084, Peoples R China|Tsinghua Univ, Natl Lab Informat Sci & Technol, Beijing 100084, Peoples R China;

    Univ Alberta, Dept Elect & Comp Engn, Edmonton, AB T6G 2V4, Canada;

    Tsinghua Univ, Inst Microelect, Beijing 100084, Peoples R China|Tsinghua Univ, Natl Lab Informat Sci & Technol, Beijing 100084, Peoples R China;

  • 收录信息
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

    Reliability; Performance; Algorithms; Fault tolerance; reconfigurable architecture; topology reconfiguration;

    机译:可靠性;性能;算法;容错;可重配置架构;拓扑重配置;

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号