掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Asia and South Pacific Design Automation Conference
Asia and South Pacific Design Automation Conference
召开年:
2014
召开地:
Chiba(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Analytical placement for rectilinear blocks
机译:
直线块的分析位置
作者:
Takashima Yasuhiro
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
2.
Modeling and design optimization of ReRAM
机译:
ReRAM的建模和设计优化
作者:
Kang J.F.
;
Li H.T.
;
Huang P.
;
Chen Z.
;
Gao B.
;
Liu X.Y.
;
Jiang Z.Z.
;
Wong H.-S.P.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
关键词:
SPICE model;
emerging memory;
resistive switching memory;
3.
Modeling and optimization of low power resonant clock mesh
机译:
低功耗谐振时钟网格的建模和优化
作者:
Wulong Liu
;
Guoqing Chen
;
Yu Wang
;
Huazhong Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
4.
Logic-DRAM co-design to efficiently repair stacked DRAM with unused spares
机译:
逻辑-DRAM协同设计,可有效地利用未使用的备件修复堆叠的DRAM
作者:
Minjie L.V.
;
Hongbin Sun
;
Jingmin Xin
;
Nanning Zheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
5.
New electromigration modeling and analysis considering time-varying temperature and current densities
机译:
考虑时变温度和电流密度的新型电迁移建模和分析
作者:
Hai-Bao Chen
;
Tan Sheldon X.-D
;
Xin Huang
;
Sukharev Valeriy
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
6.
Multilane Racetrack caches: Improving efficiency through compression and independent shifting
机译:
Multilane Racetrack缓存:通过压缩和独立移位提高效率
作者:
Haifeng Xu
;
Yong Li
;
Melhem Rami
;
Jones Alex K.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
7.
Multiple Independent Gate FETs: How many gates do we need?
机译:
多个独立栅极FET:我们需要多少个栅极?
作者:
Amaru Luca
;
Hills Gage
;
Gaillardon Pierre-Emmanuel
;
Mitra Subhasish
;
De Micheli Giovanni
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
8.
Many-to-many active cell balancing strategy design
机译:
多对多主动电池平衡策略设计
作者:
Kauer Matthias
;
Narayanaswamy Swaminathan
;
Steinhorst Sebastian
;
Lukasiewycz Martin
;
Chakraborty Samarjit
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
9.
Non-stitch triple patterning-aware routing based on conflict graph pre-coloring
机译:
基于冲突图预着色的无针迹三重模式感知路由
作者:
Po-Ya Hsu
;
Yao-Wen Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
10.
Layout decomposition for Spacer-is-Metal (SIM) self-aligned double patterning
机译:
间隔金属(SIM)自对准双图案的布局分解
作者:
Shao-Yun Fang
;
Yi-Shu Tai
;
Yao-Wen Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
11.
Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics
机译:
读取电阻性存储器(ReRAM)和基于忆阻器的非易失性逻辑的电路
作者:
Meng-Fan Chang
;
Lee Albert
;
Chien-Chen Lin
;
Mon-Shu Ho
;
Ping-Cheng Chen
;
Chia-Chen Kuo
;
Ming-Pin Chen
;
Pei-Ling Tseng
;
Tzu-Kun Ku
;
Chien-Fu Chen
;
Kai-Shin Li
;
Jia-Min Shieh
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
12.
Reducing Dynamic Dispatch Overhead (DDO) of SLDL-synthesized embedded software
机译:
减少SLDL合成的嵌入式软件的动态调度开销(DDO)
作者:
Jiaxing Zhang
;
Sanyuan Tang
;
Schirner Gunar
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
13.
Accelerating SAT-based Boolean matching for heterogeneous FPGAs using one-hot encoding and CEGAR technique
机译:
使用一键编码和CEGAR技术为异构FPGA加速基于SAT的布尔匹配
作者:
Matsunaga Yusuke
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
14.
Early stage real-time SoC power estimation using RTL instrumentation
机译:
使用RTL仪器进行早期实时SoC功耗估算
作者:
Jianlei Yang
;
Liwei Ma
;
Kang Zhao
;
Yici Cai
;
Tin-Fook Ngai
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
关键词:
Power Estimation;
RTL Instrumentation;
Real-Time;
Singular Value Decomposition (SVD);
15.
Powering the IoT: Storage-less and converter-less energy harvesting
机译:
为物联网提供动力:无存储和无转换器的能量收集
作者:
Hyung Gyu Lee
;
Naehyuck Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
16.
Intra-vehicle network routing algorithm for wiring weight and wireless transmit power minimization
机译:
车内网络路由算法,可减轻布线重量并最大程度降低无线发射功率
作者:
Ta-Yang Huang
;
Chia-Jui Chang
;
Chung-Wei Lin
;
Roy Sudip
;
Tsung-Yi Ho
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
17.
On test syndrome merging for reasoning-based board-level functional fault diagnosis
机译:
基于测试推理的板级功能故障诊断合并
作者:
Zelong Sun
;
Li Jiang
;
Qiang Xu
;
Zhaobo Zhang
;
Zhiyuan Wang
;
Xinli Gu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
18.
Polynomial time algorithm for area and power efficient adder synthesis in high-performance designs
机译:
高性能设计中面积和功率有效加法器综合的多项式时间算法
作者:
Roy Subhendu
;
Choudhury Mihir
;
Puri Ruchir
;
Pan David Z.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
19.
Optimizing thread-to-core mapping on manycore platforms with distributed Tag Directories
机译:
使用分布式标签目录在许多核心平台上优化线程到核心的映射
作者:
Guantao Liu
;
Schmidt Tim
;
Domer Rainer
;
Dingankar Ajit
;
Kirkpatrick Desmond
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
20.
Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power
机译:
跑道记忆的定量建模,面积,性能和功率之间的权衡
作者:
Chao Zhang
;
Guangyu Sun
;
Weiqi Zhang
;
Fan Mi
;
Hai Li
;
Weisheng Zhao
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
21.
ShuttleNoC: Boosting on-chip communication efficiency by enabling localized power adaptation
机译:
ShuttleNoC:通过实现局部电源适配来提高片上通信效率
作者:
Hang Lu
;
Guihai Yan
;
Yinhe Han
;
Ying Wang
;
Xiaowei Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
22.
Generating circuit current constraints to guarantee power grid safety
机译:
产生电路电流约束以确保电网安全
作者:
Moudallal Zahi
;
Najm Farid N.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
23.
IR to routing challenge and solution for interposer-based design
机译:
IR到路由挑战和基于中介层的设计的解决方案
作者:
Fang Eric Jia-Wei
;
Shih Terry Chi-Jih
;
Huang Darton Shen-Yu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
24.
Self-learning and adaptive board-level functional fault diagnosis
机译:
自学习和自适应板级功能故障诊断
作者:
Fangming Ye
;
Chakrabarty Krishnendu
;
Zhaobo Zhang
;
Xinli Gu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
25.
Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices
机译:
基于协商的任务调度和存储控制算法,在动态价格下将用户的电费降到最低
作者:
Ji Li
;
Yanzhi Wang
;
Xue Lin
;
Nazarian Shahin
;
Pedram Massoud
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
26.
SIPredict: Efficient post-layout waveform prediction via System Identification
机译:
SIPredict:通过系统识别进行高效的布局后波形预测
作者:
Qicheng Huang
;
Xiao Li
;
Fan Yang
;
Xuan Zeng
;
Xin Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
27.
Modeling framework for cross-point resistive memory design emphasizing reliability and variability issues
机译:
跨点电阻式存储器设计的建模框架,强调可靠性和可变性问题
作者:
Yang Zheng
;
Cong Xu
;
Yuan Xie
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
28.
Synthesis of resilient circuits from guarded atomic actions
机译:
由受保护的原子作用合成弹性电路
作者:
Yuankai Chen
;
Hai Zhou
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
29.
Synthesis of resonant clock networks supporting dynamic voltage / frequency scaling
机译:
支持动态电压/频率缩放的谐振时钟网络的合成
作者:
Seyong Ahn
;
Minseok Kang
;
Papaefthymiou Marios C.
;
Taewhan Kim
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
30.
Machine learning and pattern matching in physical design
机译:
物理设计中的机器学习和模式匹配
作者:
Bei Yu
;
Pan David Z.
;
Matsunawa Tetsuaki
;
Xuan Zeng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
31.
GPU-accelerated parallel Monte Carlo analysis of analog circuits by hierarchical graph-based solver
机译:
基于分层图的求解器的GPU加速模拟电路的并行蒙特卡洛分析
作者:
Yan Zhu
;
Tan Sheldon X.-D
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
32.
Technological exploration of RRAM crossbar array for matrix-vector multiplication
机译:
用于矩阵向量乘法的RRAM交叉开关阵列技术探索
作者:
Peng Gu
;
Boxun Li
;
Tianqi Tang
;
Shimeng Yu
;
Yu Cao
;
Yu Wang
;
Huazhong Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
33.
Implementation of double arbiter PUF and its performance evaluation on FPGA
机译:
双仲裁器PUF的实现及其在FPGA上的性能评估
作者:
Machida Takanori
;
Yamamoto Dai
;
Iwamoto Mitsugu
;
Sakiyama Kazuo
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
34.
Microarchitectural-level statistical timing models for near-threshold circuit design
机译:
用于近阈值电路设计的微体系结构级统计时序模型
作者:
Shiomi Jun
;
Ishihara Tohru
;
Onodera Hidetoshi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
关键词:
Near-threshold computing;
statistical static timing analysis (SSTA);
35.
Stress-aware P/G TSV planning in 3D-ICs
机译:
3D-IC中的压力感知P / G TSV规划
作者:
Shengcheng Wang
;
Firouzi Farshad
;
Oboril Fabian
;
Tahoori Mehdi B.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
36.
Nonvolatile memory allocation and hierarchy optimization for high-level synthesis
机译:
用于高级综合的非易失性内存分配和层次结构优化
作者:
Shuangchen Li
;
Ang Li
;
Yongpan Liu
;
Yuan Xie
;
Huazhong Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
37.
Speeding up single pass simulation of PLRUt caches
机译:
加快PLRUt缓存的单遍模拟
作者:
Schneider Josef
;
Peddersen Jorgen
;
Parameswaran Sri
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
38.
Trend in power devices for electric and hybrid electric vehicles
机译:
电动和混合动力电动汽车动力装置的趋势
作者:
Hussein Khalid
;
Fujita Akira
;
Sato Katsumi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
39.
Software-based test and diagnosis of SoCs using embedded and wide-I/O DRAM
机译:
使用嵌入式和宽I / O DRAM的SoC的基于软件的测试和诊断
作者:
Deutsch Sergej
;
Chakrabarty Krishnendu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
40.
THOR: Orchestrated thermal management of cores and networks in 3D many-core architectures
机译:
THOR:精心策划的3D多核架构中的核和网络热管理
作者:
Jinho Lee
;
Junwhan Ahn
;
Kiyoung Choi
;
Kyungsu Kang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
41.
Useful clock skew scheduling using adjustable delay buffers in multi-power mode designs
机译:
在多功耗模式设计中使用可调延迟缓冲器进行有用的时钟偏斜调度
作者:
Juyeon Kim
;
Taewhan Kim
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
42.
Timing-based anomaly detection in embedded systems
机译:
嵌入式系统中基于时序的异常检测
作者:
Sixing Lu
;
Minjun Seo
;
Lysecky Roman
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
43.
The prospects of next generation television - Japan's initiative to 2020
机译:
下一代电视的前景-日本到2020年的倡议
作者:
Motohashi Keiya
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
44.
The required technologies for Automotive towards 2020
机译:
到2020年汽车所需的技术
作者:
Wolz Udo
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
45.
The world's 1st Complete-4K SoC solution with hybrid memory system
机译:
全球第一个采用混合存储系统的Complete-4K SoC解决方案
作者:
Murakami Daisuke
;
Soga Yuki
;
Imoto Daisuke
;
Watanabe Yoshiharu
;
Yamada Takashi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
46.
Two-phase protocol converters for 3D asynchronous 1-of-n data links
机译:
用于3D异步1-of-n数据链路的两相协议转换器
作者:
Pontes Julian
;
Vivet Pascal
;
Thonnart Yvain
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
关键词:
3D chip;
NoC;
asynchronous circuits;
two-phase handshake;
47.
Polynomial time optimal algorithm for stencil row planning in e-beam lithography
机译:
电子束光刻中模板行规划的多项式时间最优算法
作者:
Daifeng Guo
;
Yuelin Du
;
Wong Martin D. F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
48.
SWAT: Assertion-based debugging of concurrency issues at system level
机译:
特警:在系统级别对基于并发问题的声明进行调试
作者:
Murillo Luis Gabriel
;
Bucs Robert Lajos
;
Hincapie Daniel
;
Leupers Rainer
;
Ascheid Gerd
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
49.
Systems modeling for additional development in automotive E/E architecture
机译:
汽车E / E架构中额外开发的系统建模
作者:
Nishimura Hidekazu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
50.
Unified non-volatile memory and NAND flash memory architecture in smartphones
机译:
智能手机中的统一非易失性存储器和NAND闪存架构
作者:
Renhai Chen
;
Yi Wang
;
Jingtong Hu
;
Duo Liu
;
Zili Shao
;
Yong Guan
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
51.
Reverse BDD-based synthesis for splitter-free optical circuits
机译:
无分光器光路的基于反向BDD的合成
作者:
Wille Robert
;
Keszocze Oliver
;
Hopfmuller Clemens
;
Drechsler Rolf
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
52.
Toward large-scale access-transistor-free memristive crossbars
机译:
迈向大规模无存取晶体管的忆阻交叉开关
作者:
Ghofrani Amirali
;
Lastras-Montano Miguel Angel
;
Kwang-Ting Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
53.
Obstacle-avoiding wind turbine placement for power-loss and wake-effect optimization
机译:
避免障碍物的风力涡轮机放置,以实现功率损耗和尾流效果优化
作者:
Yu-Wei Wu
;
Yi-Yu Shi
;
Roy Sudip
;
Tsung-Yi Ho
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
54.
Reliability-configurable mixed-grained reconfigurable array compatible with high-level synthesis
机译:
可靠性可配置的混合粒度可重构阵列,与高级综合兼容
作者:
Hashimoto Masanori
;
Alnajjar Dawood
;
Konoura Hiroaki
;
Mitsuyama Yukio
;
Shimada Hajime
;
Kobayashi Kazutoshi
;
Kanbara Hiroyuki
;
Ochi Hiroyuki
;
Imagawa Takashi
;
Wakabayashi Kazutoshi
;
Onoye Takao
;
Onodera Hidetoshi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
55.
Satisfiability Don't Care condition based circuit fingerprinting techniques
机译:
可满足性,无需关注基于条件的电路指纹技术
作者:
Dunbar Carson
;
Gang Qu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
56.
Vulnerability analysis for crypto devices against probing attack
机译:
加密设备针对探测攻击的漏洞分析
作者:
Lingxiao Wei
;
Jie Zhang
;
Feng Yuan
;
Yannan Liu
;
Junfeng Fan
;
Qiang Xu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
57.
Gate sizing and threshold voltage assignment for high performance microprocessor designs
机译:
高性能微处理器设计的门尺寸和阈值电压分配
作者:
Reimann Tiago
;
Sze Cliff C. N.
;
Reis Ricardo
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
58.
Iterative disparity voting based stereo matching algorithm and its hardware implementation
机译:
基于迭代视差投票的立体匹配算法及其硬件实现
作者:
Zhi Hu
;
Yibo Fan
;
Xiaoyang Zeng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2015年
意见反馈
回到顶部
回到首页