首页> 中文期刊>无线互联科技 >基于VHDL的交通灯控制器的程序设计

基于VHDL的交通灯控制器的程序设计

     

摘要

基于VHDL语言的交通灯控制器的设计用于模拟路口的红黄绿交通灯的变化过程.该设计可以分为时钟分频、红绿灯状态转换及3种状态译码、数码管动态扫描和数码管显示4个模块,是一个综合性的EDA实验项目.时钟分频模块用于将20 MHz的时钟分为1 Hz的倒计时时钟信号和大于100 Hz的动态扫描时钟信号.文章介绍了VHDL语言的模块化程序设计思想和交通灯的设计思路,并分别编写了4个模块的VHDL程序以及顶层模块的程序,对程序进行了编译并实现了硬件仿真.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号