首页> 中文期刊>河南机电高等专科学校学报 >基于VHDL语言的交通灯控制器设计

基于VHDL语言的交通灯控制器设计

     

摘要

传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制器设计的一般思路和方法.选择XILINX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5.X和MODELSIMSE 6.0开发工具进行了程序的编译和功能仿真.最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿真结果表明该系统的设计方案正确.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号