首页> 中文期刊>河北师范大学学报:自然科学版 >交通灯控制器的VHDL程序设计

交通灯控制器的VHDL程序设计

     

摘要

结合交通灯控制器的设计过程 ,介绍了硬件描述语言 VHDL的结构模型和设计方法 。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号