首页> 中文期刊> 《山东工业技术》 >基于FPGA和BWDSP100 Link口的源同步时序约束

基于FPGA和BWDSP100 Link口的源同步时序约束

         

摘要

FPGA与BWDSP100高速链路口数据传输,在300MB/s的速率下,FPGA则需要进行SDC时序约束,来确保时序准确无误, FPGA与BWDSP100的Link口属于源同步接口,本文利用TimeQuest时序分析工具对FPGA的LINK口逻辑进行约束,工程应用中6路LINK口能稳定工作在300MB/s的传输速率下。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号