首页> 中文期刊> 《集成电路与嵌入式系统》 >基于FPGA的高精度同步时钟系统设计

基于FPGA的高精度同步时钟系统设计

         

摘要

介绍了精密时钟同步协议(PTP)的原理。本文精简了该协议,设计并实现了一种低成本、高精度的时钟同步系统方案。该方案中,本地时钟单元、时钟协议模块、发送缓冲、接收缓冲以及系统打时标等功能都在FPGA中实现。经过测试,该方案能够实现ns级同步精度。该方案成本低,并且易于扩展,非常适合局域网络时钟同步的应用领域。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号