首页> 中文期刊> 《科技与创新》 >使用Xilinx Webpack4.2 ISE实现CPLD和FPGA设计

使用Xilinx Webpack4.2 ISE实现CPLD和FPGA设计

         

摘要

可编程逻辑器件cpld和fpga以及xilinx webpack 4.2ISE的介绍:用xilinx webpack 4.2 ISE设计七段译码器的显示.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号