首页> 中文期刊> 《科技与创新》 >MAC在FPGA中的高效实现

MAC在FPGA中的高效实现

             

摘要

乘累加器在DSP算法中有着举足轻重的地位。现在,很多前端DSP算法都通过FPGA实现。结合FPGA具体的硬件结构,提出了乘累加器在FPGA中实现的改进方法:流水线技术、CSD编码、DA算法,最后给出了这几种方法的实验结果。结果表明,这些方法的应用能大幅度的提高乘累加器在FPGA中的运行性能。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号