首页> 中文期刊> 《电子元器件应用》 >数字控制振荡器(NCO)的FPGA实现

数字控制振荡器(NCO)的FPGA实现

         

摘要

介绍了NCO数字控制振荡器的工作原理,详细分析了数控振荡器的性能指标和其在FPGA中的实现方法,最后给出了新设计的数控振荡器在QUARTUS Ⅱ中的仿真结果.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号