首页> 中文期刊> 《工业和信息化教育》 >基于FPGA的图像中值滤波算法的优化及实现

基于FPGA的图像中值滤波算法的优化及实现

         

摘要

针对FPGA可并行流水线工作的结构特点,对图像中值滤波算法进行了优化,并用VHDL语言在altera公司的现场可编程门阵列上进行了实现,并给出了部分关键程序。实验结果证明,该算法可满足实时性要求,滤波效果良好,适用于图像采集和预处理系统中。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号