首页> 外文学位 >Mechanical reliability of porous low-k dielectrics for advanced interconnect: Study of the instability mechanisms in porous low-k dielectrics and their mediation through inert plasma induced re-polymerization of the backbone structure.
【24h】

Mechanical reliability of porous low-k dielectrics for advanced interconnect: Study of the instability mechanisms in porous low-k dielectrics and their mediation through inert plasma induced re-polymerization of the backbone structure.

机译:用于高级互连的多孔低k电介质的机械可靠性:多孔低k电介质的不稳定性机理及其通过惰性等离子体引发的骨架结构再聚合的介导研究。

获取原文
获取原文并翻译 | 示例

摘要

Continuous scaling down of critical dimensions in interconnect structures requires the use of ultralow dielectric constant (k) films as interlayer dielectrics to reduce resistance-capacitance delays. Porous carbon-doped silicon oxide (p-SiCOH) dielectrics have been the leading approach to produce these ultralow-k materials. However, embedding of porosity into dielectric layer necessarily decreases the mechanical reliability and increases its susceptibility to adsorption of potentially deleterious chemical species during device fabrication process. Among those, exposure of porous-SiCOH low-k (PLK) dielectrics to oxidizing plasma environment causes the increase in dielectric constant and their vulnerability to mechanical instability of PLKs due to the loss of methyl species and increase in moisture uptake. These changes in PLK properties and physical stability have been persisting challenges for next-generation interconnects because they are the sources of failure in interconnect integration as well as functional and physical failures appearing later in IC device manufacturing. It is therefore essential to study the fundamentals of the interactions on p-SiCOH matrix induced by plasma exposure and find an effective and easy-to-implement way to reverse such changes by repairing damage in PLK structure.;From these perspectives, the present dissertation proposes 1) a fundamental understanding of structural transformation occurring during oxidative plasma exposure in PLK matrix structure and 2) its restoration by using silylating treatment, soft x-ray and inert Ar-plasma radiation, respectively. Equally important, 3) as an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated and investigated.;Based on the investigations, stability of PLK films studied by time-dependent ball indentation tester under the elevated temperature, variation in film thickness and dielectric constant, shows striking difference with small change in the chemical bond structure. Comparison of peak extracted by using FTIR (Fourier transform infrared spectroscopy) reveals that viscoplastic deformation and dielectric constant change correctly reflect the evolution in morphological structure of Si-O-Si peak. It is also found that hydrophilic nature of PLK matrix induced by silanol group is more involved with viscoplastic deformation rate and cage-like crosslinking in Si-O-Si peak is responsible for dielectric constant change. However, the level of instability driven by plasma exposure in PLK matrix is found to recover and desired mechanical and electrical properties are obtained by modifying the chemical bond configuration. Silylation process by HMDS (hexamethyldisilazane) works on recovery of hydrophobicity because it replenishes -C while removing -OH bonds. Contact angle is restored by controlling process temperature, however, the silylating agent cannot penetrate deep into PLK matrix without an adequate medium such as supercritical CO2, making it difficult to implement. As a way of overcoming the limitation of UV cure, soft x-ray cure with Al Kalpha target is applied to induce gentle reconfiguration of chemical bond. It is possible to break bond links selectively by controlling x-ray energy level and also reduce thermal curing temperature due to the increased penetration depth. As a result of soft x-ray cure, film thickness loss almost not occurred. However, influence of x-ray radiation on the moisture removal is limited. Basically, oxidative plasma damage appears in two extensive areas. The first is the loss of -C from PLK matrix, and the second is the increase in hydrophilic nature involved with the formation of Si-OH terminal bonds and H2O. Both alternations cause the dielectric constant to degrade because of increased density and/or loss of free volume, but the second causes PLK to lose thermal and mechanical stability because Si-OH and H2O act as catalysts for reactions that break the cross-linked backbone. Clearly, both changes in PLK chemistry and bond structure must be addressed in order for any repair method to be favorable. For this reason, Ar plasma treatment with low energy ions is employed to repair the plasma induced damage by creating the desired changes in the film matrix without a significant loss of other properties. Our approach of using inert plasma as a way for damage recovery is motivated by the realization that there is no possibility of chemical reaction with any organic species, driving the energy transfer only from the plasma species towards the respective film matrix. As results, after applying Ar plasma beam treatment followed by annealing on damaged PLK films, the resistance against thermal instability and viscoplastic deformation is found to be improved. Ball indentation depth of the films with Ar plasma process is drastically reduced at the identical condition. More noticeable is the fact that such alternation is converted towards a dehydration reaction under hydrostatic thermal pressure, which causes dielectric constant to decrease and films shrinkage to restore during reconstruction of polymer chains. It is suggested that the immediate event of an Ar plasma beam radiation is to deposit energy from the plasma species (ions, electrons) and this energy input produces the excited state species because Ar cannot chemically react with the film matrix. As a consequence, the radical sites are generated at the less stable area such as colony boundary or pore surface with the decay of the excited species, leading to the production of free radicals by an energy transfer to the bonds which are to be broken. Then, the activated sites experience chemical bond rearrangement by chain-scission, branching, or cross-linking. In our case, crosslink with C is involved with silylmethylene (Si-(CH 2)x-Si) groups and it is turned out that some of these groups are converted to methyl groups terminally bonded to siloxane backbone structure under 300∼400°C by reaction with -OH, and simultaneously creating a new Si-O-Si crosslink.;As an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated. Since pore surface is susceptible to be damaged by BEOL integration damage, pore morphology in terms of size, distribution, and connectivity should be controlled in order to increase the robustness of PLK dielectrics. Generally, pores in PLK matrix are created by depositing organic fragment (called 'porogen') into the film and removed later by thermal and electron beam cure to form porous PLK layer (; Subtractive deposition). However, during the curing Si-O-Si backbone crosslink is broken and pores are easily interconnected, leading to vulnerable structure to the extrinsic damage. Constitutive deposition approach is feasible for the introduction of smaller nano-pores with little or no interconnectivity by steric hindrance. Due to the closed pore system, thermally-induced stress and plasma-induced damage is restricted merely to the surface of the dielectric film. This is attributed to the stable siloxane (Si-O-Si) backbone and the terminally bonded methyl group attached to silicon (Si-CH3), inducing steric hindrance that lowers the density of the films. The low dielectric constant and mechanical stability are closely involved with the formation of the Si-O-Si cage-like structure and an appropriate combination of stable Si-O-Si, Si-CH3 groups. Based on the FTIR and XPS spectra, it is concluded that the formation of the Si-O-Si cage-like structure was enhanced by structural method.;It is believed that all these changes are beneficial for improving PLK stability as will be detailed in this dissertation. Especially, the originality and particular advantage of this study regarding plasma-induced damage repair will be highlighted.
机译:互连结构中关键尺寸的连续缩小要求使用超低介电常数(k)膜作为层间电介质,以减少电阻电容延迟。多孔碳掺杂氧化硅(p-SiCOH)电介质已成为生产这些超低k材料的主要方法。但是,将孔隙率嵌入电介质层必然会降低机械可靠性,并增加其在器件制造过程中吸附潜在有害化学物质的敏感性。其中,将多孔SiCOH低k(PLK)电介质暴露于氧化等离子体环境中会导致介电常数增加,并且由于甲基物种的损失和水分吸收的增加,它们容易受到PLK机械不稳定性的影响。 PLK特性和物理稳定性的这些变化一直是下一代互连的挑战,因为它们是互连集成失败的根源,以及后来出现在IC器件制造中的功能和物理故障。因此,有必要研究等离子体暴露引起的在p-SiCOH基体上相互作用的基础,并找到一种有效且易于实现的方式来修复PLK结构的破坏来逆转这种变化。;从这些观点出发,本论文提出1)对在PLK基质结构中氧化等离子体暴露过程中发生的结构转变的基本理解,以及2)分别通过甲硅烷基化处理,软X射线和惰性Ar-等离子体辐射对其恢复。同样重要的是,3)作为提高热机械可靠性的另一种方法,通过控制孔的形态来制造和研究具有本征坚固结构的PLK介电膜。;在此基础上,通过时变球研究了PLK膜的稳定性高温下的压痕测试仪,膜厚度和介电常数的变化显示出显着差异,化学键结构的变化很小。通过傅立叶变换红外光谱(FTIR)提取的峰的比较表明,粘塑性变形和介电常数变化正确地反映了Si-O-Si峰形态结构的演变。还发现由硅烷醇基诱导的PLK基质的亲水性与粘塑性变形速率有关,并且Si-O-Si峰中的笼状交联是介电常数变化的原因。然而,发现由PLK基质中的等离子体暴露驱动的不稳定性水平得以恢复,并且通过改变化学键构型获得了所需的机械和电气性能。 HMDS(六甲基二硅氮烷)进行的甲硅烷基化工艺可恢复疏水性,因为它可补充-C并同时去除-OH键。通过控制工艺温度可以恢复接触角,但是,如果没有足够的介质(如超临界CO2),甲硅烷基化剂就无法深入PLK基体中,因此难以实施。作为克服UV固化限制的一种方法,使用具有Al Kalpha靶的软X射线固化来诱导化学键的缓和重构。通过控制X射线能级可以有选择地断开键连接,并且由于增加的穿透深度,还可以降低热固化温度。 X射线软固化的结果是几乎没有发生膜厚损失。然而,x射线辐射对水分去除的影响是有限的。基本上,氧化等离子体损害出现在两个广泛的领域。第一个是PLK基质中-C的损失,第二个是与Si-OH末端键和H2O形成有关的亲水性的增加。两种交替都会导致介电常数下降,这是因为密度增加和/或自由体积的损失,但是第二种交替会使PLK失去热稳定性和机械稳定性,因为Si-OH和H2O充当破坏交联主链的反应的催化剂。显然,PLK化学和键结构的变化都必须解决,以便采用任何修复方法。因此,采用低能离子进行Ar等离子体处理可通过在薄膜基质中产生所需的变化来修复等离子体引起的损伤,而不会显着损失其他性能。我们认识到,不可能与任何有机物质发生化学反应,而仅将能量从等离子体物质转移到相应的薄膜基质,这是我们采用惰性等离子体作为破坏恢复方法的方法的动力。结果,在应用Ar等离子束处理后,对受损的PLK膜进行退火,发现抗热不稳定性和粘塑性变形的能力得到改善。在相同条件下,采用Ar等离子工艺的薄膜的球压痕深度会大大降低。更明显的事实是,这种交替在静水热压力下转化为脱水反应,这导致介电常数降低,并且在聚合物链重构期间膜收缩恢复。建议Ar等离子体束辐射的直接事件是从等离子体物质(离子,电子)中沉积能量,并且该能量输入会产生激发态物质,因为Ar无法与薄膜基质发生化学反应。结果,随着受激物种的衰变,自由基位点在不稳定的区域(例如菌落边界或孔表面)处产生,从而导致自由基通过能量转移到要断裂的键而产生自由基。然后,活化位通过断链,分支或交联经历化学键重排。在我们的案例中,与C的交联涉及甲硅烷基亚甲基(Si-(CH 2)x-Si)基团,事实证明,其中某些基团在300〜400°C的温度下会转化为末端键合至硅氧烷主链结构的甲基通过与-OH反应,同时创建新的Si-O-Si交联键;作为提高热机械可靠性的另一种方法,通过控制孔的形貌来制造具有固有坚固结构的PLK介电膜。由于孔表面容易受到BEOL集成损伤的破坏,因此应控制孔的大小,分布和连通性的形态,以提高PLK电介质的坚固性。通常,PLK基质中的孔是通过将有机碎片(称为“致孔剂”)沉积到薄膜中而形成的,然后通过热和电子束固化将其除去,以形成多孔PLK层(减法沉积)。然而,在固化过程中,Si-O-Si主链的交联被破坏,孔很容易相互连接,从而导致易受外部损伤的结构。本构沉积方法对于引入较小的纳米孔是可行的,该较小的纳米孔很少或没有通过空间位阻的互连性。由于封闭的孔系统,热诱导的应力和等离子体引起的损坏仅限于介电膜的表面。这归因于稳定的硅氧烷(Si-O-Si)主链和与硅连接的末端键合的甲基(Si-CH3),引起空间位阻,从而降低了薄膜的密度。低介电常数和机械稳定性与Si-O-Si笼状结构的形成以及稳定的Si-O-Si,Si-CH3基团的适当组合密切相关。根据FTIR和XPS光谱,可以得出结论,通过结构方法可以增强Si-O-Si笼状结构的形成;本文。特别是,将突出本研究在血浆诱导的损伤修复方面的独创性和特殊优势。

著录项

  • 作者

    Sa, Yoonki.;

  • 作者单位

    The University of Texas at Arlington.;

  • 授予单位 The University of Texas at Arlington.;
  • 学科 Materials science.;Engineering.
  • 学位 Ph.D.
  • 年度 2015
  • 页码 126 p.
  • 总页数 126
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

  • 入库时间 2022-08-17 11:52:25

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号