首页> 外文学位 >Gallium arsenide MESFET static RAM design for embedded applications.
【24h】

Gallium arsenide MESFET static RAM design for embedded applications.

机译:砷化镓MESFET静态RAM设计用于嵌入式应用。

获取原文
获取原文并翻译 | 示例

摘要

This work describes circuit structures and design methodologies needed to achieve higher performance, lower power, process tolerant embedded static RAMs and digital circuits using E/D MESFETs in GaAs. A novel current mirror memory cell (CMMC) is presented that achieves memories with a smaller cell area, faster read and write times, and more reliable operation than is possible using a conventional memory cell. This cell achieves the maximum suppression of leakage currents using a single 2-V supply voltage. We describe two SRAM implementations and their testing results. Fault models and testing procedures are presented for the CMMC. Several circuit design and characterization methodologies are described that are needed to achieve robust circuits in processing technologies with low noise margins. We present a low-power logic style, called Power Rail Logic (PRL), which can achieve up to 40% lower power-delay products than equivalent DCFL circuits. Test results for a demonstration vehicle for this logic style are presented. We also present the Aurora RAM Compiler (ARC) that uses the process tolerant design methodologies, the new memory cell, and the new logic style described in this thesis. The compiler iteratively optimizes an SRAM using HSPICE for calculating delays, power dissipation, and signal noise margins. The compiler was built using a flexible design framework that can easily adapt with minimal effort to characterize memories in different MESFET processes.
机译:这项工作描述了使用GaAs中的E / D MESFET实现更高的性能,更低的功耗,工艺可承受的嵌入式静态RAM和数字电路所需的电路结构和设计方法。提出了一种新颖的电流镜存储单元(CMMC),与使用常规存储单元相比,该存储单元具有更小的单元面积,更快的读写时间以及更可靠的操作。该电池使用2V单电源电压即可最大程度地抑制泄漏电流。我们描述了两种SRAM实现及其测试结果。介绍了CMMC的故障模型和测试过程。描述了在具有低噪声裕度的处理技术中实现鲁棒电路所需的几种电路设计和表征方法。我们提出了一种称为Power Rail Logic(PRL)的低功耗逻辑样式,与等效的DCFL电路相比,它可以实现高达40%的低功耗延迟产品。展示了这种逻辑样式的演示车辆的测试结果。我们还介绍了Aurora RAM编译器(ARC),该编译器使用了过程允许的设计方法,新的存储单元以及本文中介绍的新的逻辑样式。编译器使用HSPICE迭代优化SRAM,以计算延迟,功耗和信号噪声容限。该编译器是使用灵活的设计框架构建的,该框架可以轻松地进行调整,以最小的努力来表征不同MESFET工艺中的存储器。

著录项

  • 作者

    Chandna, Ajay.;

  • 作者单位

    University of Michigan.;

  • 授予单位 University of Michigan.;
  • 学科 Engineering Electronics and Electrical.; Physics Condensed Matter.
  • 学位 Ph.D.
  • 年度 1995
  • 页码 178 p.
  • 总页数 178
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类 无线电电子学、电信技术 ;
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号