首页> 外文学位 >Design of high-performance, robust datapaths with delay diagnostics for scaled CMOS technologies.
【24h】

Design of high-performance, robust datapaths with delay diagnostics for scaled CMOS technologies.

机译:高性能,鲁棒的数据路径设计,具有针对规模化CMOS技术的延迟诊断功能。

获取原文
获取原文并翻译 | 示例

摘要

Over the past 30 years aggressive technology scaling and innovative design techniques have led to the design of high-performance microprocessors that operate at on-chip clock frequencies of more than 3GHz and have 100 million or more transistors. The projections from ITRS 2003 indicate that this trend will continue into the next decade resulting in the integration of over a billion transistors and on-chip clock frequency exceeding 10GHz by the year 2010. However, such aggressive technology scaling is not without its challenges. Some of the most important problems faced by high-performance logic design and test engineers are related to the high power demand, ensuring adequate noise margin and testability. In this thesis we address some of these issues in the context of bulk CMOS based logic and datapath designs.; During the course of this research work, a 32-bit, high performance ALU was designed with circuit level design modifications to ensure its low power operation. In particular, the critical and non-critical units of the ALU were identified and a dual supply design scheme was adopted in-order to minimize both switching and leakage power consumption during the active and standby modes of operation. In addition, a latch (flip-flop) scheme was developed that can support a reduced swing clocking scheme and interface signals between the different power supply domains without consuming additional static power. We also used a swing-restored CPL (SRCPL) based design approach for the non-critical logic and shifter units to lower the overall capacitance and data buffer sizes to reduce overall power (energy). Our results indicate that by using this strategy, it is possible to reduce the operating power by up to 24%.; As the technology is scaled, the transistor leakage current increases exponentially and causes noise margin degradation in digital circuits. Wide-OR domino logic circuits are used extensively in the design of ALU front-ends and register file (RFs). Such circuits are known to be especially susceptible to leakage induced logic upsets in scaled CMOS technologies. In this work we investigated several different circuit level schemes that have already been proposed and compared their effectiveness in improving circuit robustness. In particular, we considered schemes such as reverse body bias, channel length modulation, pseudo-static techniques, conditional keepers and forward body bias. (Abstract shortened by UMI.)
机译:在过去的30年中,积极的技术扩展和创新的设计技术导致了高性能微处理器的设计,这些微处理器以超过3GHz的片上时钟频率运行,并具有1亿或更多的晶体管。 ITRS 2003的预测表明,这一趋势将持续到下一个十年,这将导致到2010年集成超过10亿个晶体管并且片上时钟频率超过10GHz。但是,如此积极的技术扩展并非没有挑战。高性能逻辑设计和测试工程师面临的一些最重要的问题与高功率需求有关,从而确保了足够的噪声容限和可测试性。在本文中,我们在基于大容量CMOS的逻辑和数据路径设计的背景下解决了其中一些问题。在此研究过程中,对32位高性能ALU进行了设计,并对其电路级设计进行了修改,以确保其低功耗运行。特别是,确定了ALU的关键和非关键单元,并采用了双电源设计方案,以最大程度地减少活动和待机操作模式下的开关和泄漏功耗。此外,开发了一种锁存(触发器)方案,该方案可支持减少的摆幅时钟方案和不同电源域之间的接口信号,而无需消耗额外的静态功率。我们还对非关键逻辑和移位器单元使用了基于摆动恢复CPL(SRCPL)的设计方法,以降低总体电容和数据缓冲区大小,从而降低总体功耗(能量)。我们的结果表明,通过使用这种策略,可以将运行功率降低多达24%。随着技术的扩展,晶体管泄漏电流呈指数增长,并导致数字电路中的噪声容限降低。宽或多米诺骨牌逻辑电路广泛用于ALU前端和寄存器文件(RF)的设计中。已知这样的电路在按比例缩放的CMOS技术中特别容易受到泄漏引起的逻辑混乱的影响。在这项工作中,我们研究了已经提出的几种不同的电路级方案,并比较了它们在提高电路鲁棒性方面的有效性。特别是,我们考虑了诸如反向车身偏置,通道长度调制,伪静态技术,条件保持器和前向车身偏置等方案。 (摘要由UMI缩短。)

著录项

  • 作者

    Chatterjee, Bhaskar P.;

  • 作者单位

    University of Waterloo (Canada).;

  • 授予单位 University of Waterloo (Canada).;
  • 学科 Engineering Electronics and Electrical.
  • 学位 Ph.D.
  • 年度 2005
  • 页码 156 p.
  • 总页数 156
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类 无线电电子学、电信技术;
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号