首页> 中文学位 >基于IEEE 802.11a无线局域网标准的OFDM基带处理器设计与硬件实现
【6h】

基于IEEE 802.11a无线局域网标准的OFDM基带处理器设计与硬件实现

代理获取

目录

文摘

英文文摘

论文说明:图片目录、表格目录

致谢

第一章绪论

1.1无线通信系统简介

1.1.1无线通信系统现状

1.1.2无线宽带接入系统

1.1.3单载波与多载波通信系统

1.2 OFDM系统发展历史

1.2.1发展历史

1.2.2应用现状

1.3 OFDM系统的主要特点

1.4 OFDM系统的基本原理

1.4.1OFDM系统的数学模型

1.4.2FFT在OFDM系统中的应用

1.4.3保护间隔与循环前缀

1.4.4OFDM系统架构

1.5本文的主要工作

第二章IEEE 802.11a协议

2.1无线局域网标准概述

2.2IEEE 802.11无线局域网的介质访问控制(MAC)

2.3IEEE 802.11a物理层协议

2.3.1主要参数

2.3.2信道构成

2.3.3物理层协议数据单元(PPDU)帧结构

第三章OFDM基带处理器发射部分设计

3.1OFDM基带处理器发射端总体架构

3.2训练序列生成模块

3.3SIGNAL符号生成模块

3.3.1模块架构

3.3.2输入缓存

3.3.3 1/2卷积编码

3.3.4交织

3.3.5BPSK调制

3.3.6导频插入

3.4 DATA符号生成模块

3.4.1扰码

3.4.2多码率卷积编码

3.4.3交织

3.5 IFFT处理模块

3.5.1 FFT core

3.5.2硬件实现

3.6循环前缀添加与加窗处理模块

3.7主控制单元

3.8时钟生成模块

3.9整个发射处理器

第四章采样频率同步算法研究

4.1OFDM基带处理器接收部分总体架构

4.2信道模型

4.3采样频率同步算法

4.3.1采样频率偏移对OFDM系统性能的影响

4.3.2采样频率同步算法分析

4.3.3算法性能仿真

第五章接收处理器采样频率同步模块设计

5.1接收处理器采样频率同步模块实现方案

5.2数据缓存与导频提取

5.3导频相关

5.4采样频偏估计

5.5采样频偏补偿

5.6设计性能分析

第六章结束语

6.1结论

6.2未来目标

第七章参考文献

展开▼

摘要

正交频分复用(OrthogonalFrequencyDivisionMultiplexing,OFDM)技术由于拥有良好的抗多径性能和较高的频谱利用率成为高速无线通信系统的首选调制技术。因此,对OFDM技术的研究是当前通信领域的热点之一,IEEE802.11a无线局域网(WLAN)作为典型的采用OFDM作为物理层接入方式的系统以其广泛的应用前景更是受到了极大的关注。本文正是以IEEE802.11a无线局域网标准为基础,对OFDM基带处理器的算法、架构与具体实现进行了较为深入的研究,完成了整个基带处理器发射部分在寄存器传输级(Register-TransferLayer,RTL)的完整设计与FPGA实现。整个处理器无论是对功能、时序的后端仿真还是在FPGA上进行的在线实测都体现出了良好的性能。在满足功能要求的同时,本文的设计在整体架构和具体细节描述方面都注重对于最终芯片上物理实现的优化,以提高系统的工作速度并减少硬件资源的消耗。 另外,本文还分析了OFDM系统中采样频率偏移对系统性能的影响,基于此提出了一种在频域进行估计和校正的采样频率同步算法。该算法非常易于硬件实现,与采用最小二乘法(LeastSquare,LS)的估计算法相比可以减少20%的硬件资源,提高1倍的工作速度。为了证明算法的有效性,本文根据IEEE802.11a无线局域网标准进行了算法仿真和FPGA上的硬件实现研究。仿真结果表明无论是在高斯白噪声(AdditiveWhiteGaussianNoise,AWGN)信道还是多径信道下该算法均可以有效地对采样频偏进行校正,使系统性能符合标准要求;通过在FPGA上的硬件实现以及使用Xilinx的ChipscopPro进行的在线实时验证表明,该算法可以在占用较少硬件资源的条件下,实时、连续地对信号进行处理,从而作为一个完整OFDM基带处理器接收端的子模块很好地工作。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号