首页> 中文学位 >基于FPGA的步进电机细分控制电路设计
【6h】

基于FPGA的步进电机细分控制电路设计

代理获取

目录

封面

声明

中文摘要

英文摘要

目录

1 绪论

1.1 课题研究背景

1.2 课题研究意义

1.3 步进电机细分驱动技术在国内外研究的基本现状

1.4 论文主要工作

2 步进电机以及细分驱动技术

2.1 步进电机的概述

2.1 步进电机的工作原理

2.2 步进电机的励磁方式

2.4 细分驱动技术的发展

2.5 电流矢量恒幅等角度旋转法

2.6 步进电机 PWM 细分驱动电路的设计

3 EDA技术简介

3.1 EDA技术

3.2 FPGA

3.3 QuartusII开发软件

3.4 硬件描述语言(HDL)

3.5 面向FPGA的开发流程

3.6 SignalTap II介绍

4 基于FPGA的步进电机SPWM细分驱动系统的设计

4.1 细分驱动系统设计的总体思路

4.2细分驱动系统各模块基于 FPGA 的设计

4.3 步进电机细分驱动器的设计与实现

4.4用嵌入式逻辑分析仪对硬件测试

结论

致谢

参考文献

展开▼

摘要

步进电机其实就是一种角度跟踪元件。步进电机有它本身固有的特点,成本低,结构简单,又可靠,同时它又是开环系统,正是这些优点,它的应用非常广。可是步进电机,它本身又存在着很多的缺点,比如:分辨率很低、在低频时发生振荡,在高频时又存在失步等,所以在一些系统要求精度高、稳定性要求也高的应用中,限制了其使用范围。
  在当前,步进电机控制的主要领域,是传统控制方法通过采用处理器实现的,如DSP或单片机来实现。51单片机对于较简单的控制算法比较适用。对于电路复杂,难度大的控制算法,以及非常不安全的作业场所,如在高速控制的场合,单片机就明显不适合。如果多个步进电机的控制是由单片机控制的,那么则必需多个单片机,即采用多个处理器的方式,而各处理器的分散使得多个电机的同步比较困难。同时使用了多个单片机,使体积增大,系统的集成度、可靠性降低。发生故障的几率将大大增强,并给排查故障和维护故障带来很大的不便,同时多处理器控制方式,在一些高精度设备中,将无法满足实时性的要求。
  本文介绍了基于FPGA的步进电机细分控制电路的设计,介绍了四象步进电机的工作原理、EDA的相关技术、步进电机细分驱动技术,最后用HDL语言设计了步进电机的细分驱动电路。以正弦波作为细分参考电流波形的混合步进电机细分电路,设计并实现了一种SPWM细分驱动技术,这种驱动方式可以更有效控制电机绕组电流波形,大大实现步进电机的均匀步距角细分,并提高它的分辨率。最后对各个功能模块进行了设计和仿真,利用FPGA的开发板进行测试,细分之后步进电机能精确平稳地转动,达到了预期的设计目的。与此同时采用FPGA设计,从而简化了外围硬件电路,还缩短了步进电机的设计周期,不要外接D/A转换器,提高了抗干扰性能,因此应用价值是很高的。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号