首页> 外文会议>IEEE Computer Society Annual Symposium on VLSI >On the Design of Ultra-High Density 14nm Finfet Based Transistor-Level Monolithic 3D ICs
【24h】

On the Design of Ultra-High Density 14nm Finfet Based Transistor-Level Monolithic 3D ICs

机译:基于超高密度14nm Finfet的晶体管级单片3D IC的设计

获取原文

摘要

Conventional 2D CMOS faces severe challenges sub-22nm nodes. The monolithic 3D (M3D) IC technology enables ultra-high density vertical connections and provides a good path for technology node scaling. Transistor-level (TR-L) monolithic 3D IC is the most advanced and fine-grained M3D IC technology. In this paper, for the first time, the detailed design as well as benefits and challenges of a silicon validated 14nm Finfet process design kit (PDK) based TR-L M3D IC technology is explored. TR-L M3D standard cell layout is achieved based on 14nm Finfet design rules and feature sizes. A semi-customized RC extraction methodology is performed for accurate 3D cell RC extraction. After extensive simulation, TR-L M3D cell power, delay and area are evaluated and compared with equivalent 2D cells in the same technology node. System-level benchmarking with several circuits show up to 55% reduced footprint, 25% shorter wire length, and 18% lower power with TR-L M3D vs. 2D CMOS.
机译:传统的2D CMOS面临22nm以下节点的严峻挑战。单片3D(M3D)IC技术可实现超高密度垂直连接,并为技术节点扩展提供了良好的途径。晶体管级(TR-L)单片3D IC是最先进,最细粒度的M3D IC技术。本文首次探讨了基于硅验证的14nm Finfet工艺设计套件(PDK)的TR-L M3D IC技术的详细设计以及优点和挑战。 TR-L M3D标准单元布局是基于14nm Finfet设计规则和特征尺寸实现的。执行半定制的RC提取方法以进行准确的3D单元RC提取。经过广泛的仿真,评估了TR-L M3D单元的功率,延迟和面积,并与同一技术节点中的等效2D单元进行了比较。 TR-L M3D与2D CMOS相比,具有多个电路的系统级基准测试可减少多达55%的占板面积,缩短25%的线长并将功耗降低18%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号