首页> 外文会议>Conference on metrology, inspection, and process control for microlithography XXIX >Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets
【24h】

Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets

机译:使用经过计算设计的稳健的过程和类似设备的计量目标来改善全晶片产品覆盖

获取原文

摘要

In order to handle the upcoming 1x DRAM overlay and yield requirements, metrology needs to evolve to more accurately represent product device patterns while being robust to process effects. One way to address this is to optimize the metrology target design. A viable solution needs to address multiple challenges. The target needs to be resistant to process damage. A single target needs to measure overlay between two or more layers. Targets need to meet design rule and depth of focus requirements under extreme illumination conditions. These must be achieved while maintaining good precision and throughput with an ultra-small target. In this publication, a holistic approach is used to address these challenges, using computationally optimized metrology targets with an advanced overlay control loop.
机译:为了处理即将到来的1x DRAM覆盖和产量要求,计量需要在更加准确地代表产品设备模式的同时更加精确地处理效果。解决此方法的一种方法是优化计量目标设计。可行的解决方案需要解决多种挑战。目标需要抵抗过程损坏。单个目标需要测量两层或更多层之间的叠加。目标需要在极端照明条件下满足设计规则和焦点要求。必须在保持具有超小目标的良好精度和吞吐量的同时实现这些。在本出版物中,使用具有高级覆盖控制循环的计算优化的计量目标来解决整体方法来解决这些挑战。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号