【24h】

A taxonomy of out-of-order instruction commit

机译:秩序超出指令提交的分类

获取原文

摘要

While in-order instruction commit has its advantages, such as providing precise interrupts and avoiding complications with the memory consistency model, it requires the core to hold on to resources (reorder buffer entries, load/store queue entries, registers) until they are released in program order. In contrast, out-of-order commit releases resources much earlier, yielding improved performance without the need for additional hardware resources. In this paper, we revisit out-of-order commit from a different perspective, not by proposing another hardware technique, but by introducing a taxonomy and evaluating three different micro-architectures that have this technique enabled. We show how smaller processors can benefit from simple out-oforder commit strategies, but that larger, aggressive cores require more aggressive strategies to improve performance.
机译:虽然有序指令提交具有其优点,例如提供精确的中断并避免与内存一致性模型的并发症,但它要求核心保持资源(重新排序缓冲区条目,加载/存储队列条目,寄存器),直到它们被释放在计划订单中。相比之下,无序提交释放更早的资源,在不需要额外的硬件资源的情况下产生改进的性能。在本文中,我们通过提出另一种硬件技术来重新审视不同的角度,而不是提出另一种硬件技术,而是通过引入分类并评估具有该技术的三种不同的微架构。我们展示了更小的处理器可以从简单的外出策略中受益,但更大的侵略性核心需要更具侵略性的策略来提高性能。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号