...
首页> 外文期刊>Journal of signal processing systems for signal, image, and video technology >Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit
【24h】

Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit

机译:最大限度地利用有限的资源:基于无序提交的基于限制的研究和分类法

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

Out-of-order execution is essential for high performance, general-purpose computation, as it can find and execute useful work instead of stalling. However, it is typically limited by the requirement of visibly sequential, atomic instruction executionin other words, in-order instruction commit. While in-order commit has a number of advantages, such as providing precise interrupts and avoiding complications with the memory consistency model, it requires the core to hold on to resources (reorder buffer entries, load/store queue entries, physical registers) until they are released in program order. In contrast, out-of-order commit can release some resources much earlier, yielding improved performance and/or lower resource requirements. Non-speculative out-of-order commit is limited in terms of correctness by the conditions described in the work of Bell and Lipasti (2004). In this paper we revisit out-of-order commit by examining the potential performance benefits of lifting these conditions one by one and in combination, for both non-speculative and speculative out-of-order commit. While correctly handling recovery for all out-of-order commit conditions currently requires complex tracking and expensive checkpointing, this work aims to demonstrate the potential for selective, speculative out-of-order commit using an oracle implementation without speculative rollback costs. Through this analysis of the potential of out-of-order commit, we learn that: a) there is significant untapped potential for aggressive variants of out-of-order commit; b) it is important to optimize the out-of-order commit depth for a balanced design, as smaller cores benefit from reduced depth while larger cores continue to benefit from deeper designs; c) the focus on implementing only a subset of the out-of-order commit conditions could lead to efficient implementations; d) the benefits of out-of-order commit increases with higher memory latency and in conjunction with prefetching; e) out-of-order commit exposes additional parallelism in the memory hierarchy.
机译:乱序执行对于高性能,通用计算至关重要,因为它可以找到并执行有用的工作,而不会停顿。但是,它通常受到可见顺序原子指令执行(即按顺序指令提交)的要求的限制。尽管按顺序提交有许多优点,例如提供精确的中断并避免内存一致性模型带来麻烦,但它要求内核保留资源(重排序缓冲区条目,加载/存储队列条目,物理寄存器),直到它们被使用为止。按程序顺序发布。相反,乱序提交可以更早地释放一些资源,从而提高性能和/或降低资源需求。根据Bell and Lipasti(2004)的工作中所描述的条件,非推测性无序提交在正确性方面受到限制。在本文中,我们通过检查针对非投机性和投机性无序提交的条件,逐一并组合解除这些条件的潜在性能优势,重新审视了无序提交。当前正确地处理所有无序提交条件的恢复需要复杂的跟踪和昂贵的检查点,但这项工作旨在证明使用oracle实现而有选择地进行投机性无序提交的可能性,而无需进行投机性回滚成本。通过对无序提交的潜在可能性的分析,我们了解到:a)对于无序提交的攻击性变体,存在巨大的未开发潜力; b)优化无序提交深度对于平衡设计很重要,因为较小的磁芯受益于减小的深度,而较大的磁芯继续受益于较深的设计; c)将重点放在仅执行乱序提交条件的子集上可能导致有效的实现; d)无序提交的好处随着更高的内存延迟以及预取而增加; e)乱序提交在内存层次结构中暴露了其他并行性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号