首页> 外文会议>2010 IEEE International 3D Systems Integration Conference >Early estimation of TSV area for power delivery in 3-D integrated circuits
【24h】

Early estimation of TSV area for power delivery in 3-D integrated circuits

机译:尽早估算用于3D集成电路中功率传输的TSV面积

获取原文

摘要

To harness the full potential of 3-D integrated circuits, analysis tools for early design space exploration are needed. Such tools, targeting multiple design facets and cost trade-off analysis, would allow designers to arrive at major decisions regarding architecture and implementations fabrics. We focus in this paper on the efficient estimation of on-chip power delivery requirements consistent with supply noise limits. We propose a number of algorithms to find the minimum number of through-silicon vias (TSVs) that deliver power with acceptable IR drops. Minimizing the number of TSVs reduces the total silicon die area which is the main recurring cost during fabrication. To compute the TSV requirements realistically, we utilize power traces derived from benchmark-based functional behavior of processors. To speed-up our simulations, we develop a trace selection technique that utilizes the relevant portion of power traces representing the worst load for IR drops. The trace selection scheme reduces the number of simulations by 51×. Using these traces we find the best spatial allocation of TSVs for a 3-D implementation of a processor. The iterative algorithm can be run in approximately one hour on a 40-processor cluster.
机译:为了充分利用3-D集成电路的潜力,需要用于早期设计空间探索的分析工具。这种针对多个设计方面和成本权衡分析的工具将使设计人员能够就架构和实现结构做出重大决策。在本文中,我们将重点放在与电源噪声限制相一致的片上功率传输需求的有效估计上。我们提出了许多算法,以找到能够以可接受的IR压降提供功率的硅通孔(TSV)的最小数量。最小化TSV的数量可减少总的硅片面积,这是制造期间的主要重复成本。为了现实地计算TSV要求,我们利用了从基于基准的处理器功能行为中得出的功率轨迹。为了加快仿真速度,我们开发了一种迹线选择技术,该技术利用了功率迹线的相关部分来代表最差的IR下降负载。迹线选择方案将仿真次数减少了51倍。使用这些迹线,我们可以找到用于处理器的3-D实现的TSV的最佳空间分配。迭代算法可以在40个处理器的集群上运行大约一小时。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号