首页> 外文会议>International symposium on Physical design >Early research experience with OpenAccess gear
【24h】

Early research experience with OpenAccess gear

机译:OpenAccess设备的早期研究经验

获取原文

摘要

Physical design EDA research in academia has historically been based on infrastructure developed independently by individual contributors. This has led to fragmentation in the community, where interaction, data interchange and comparison of results between tools are difficult. We discuss our early experience with the OpenAccess Gear system, an open source software initiative intended to provide pieces of the critical integration and analysis infrastructure that are taken for granted in proprietary tools, but often wholly absent in research tools. Built on top of the widely available OpenAccess database, OA Gear provides components such as industrial-strength static timing analysis and extensible layout and netlist visualization. We discuss preliminary results from two on-going research efforts that have adopted OA Gear as their infrastructure: retrofitting the University of Michigan Capo placer into this environment, and the addition of a timing-driven capability to the Carnegie Mellon Warpplacer.
机译:历史上,学术界的物理设计EDA研究一直基于个人贡献者独立开发的基础设施。这导致社区中的碎片化,那里的交互,数据交换和工具之间的结果比较比较困难。我们将讨论我们使用 OpenAccess Gear 系统的早期经验,该系统是一个开放源代码软件计划,旨在提供关键的集成和分析基础架构,而这些基础架构在专有工具中是理所当然的,但在研究工具中却常常不存在。 OA Gear建立在广泛可用的OpenAccess数据库之上,提供了诸如工业强度的静态时序分析,可扩展的布局和网表可视化等组件。我们讨论了将OA Gear用作基础设施的两项正在进行的研究工作的初步结果:将密歇根大学变调夹放置器改造到这种环境中,以及在卡内基·梅隆放置器中增加了定时驱动功能。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号