首页> 外文会议>International Symposium on Quality Electronic Design >Fast synthesis of low power clock trees based on register clustering
【24h】

Fast synthesis of low power clock trees based on register clustering

机译:基于寄存器聚类的低功耗时钟树快速综合

获取原文

摘要

Clock networks dissipate a significant fraction of the entire chip power budget. In contrast to most of the traditional works that handle the power optimization problem with clock routing or buffer sizing, we propose a novel register clustering methodology for power reduction of clock trees. Moreover, a fast three-stage clock tree synthesis (CTS) approach based on register clustering is presented to verify the validity of the methodology. By comparison with the state-of-the-art low power CTS research works Contango2.0 and the CTS of Purdue University, our three-stage CTS approach achieves 1.30×, 1.07× smaller power consumption while exhibiting 2.01×, 1.52× smaller skew. Furthermore, the runtime of our CTS approach is 17.36×, 8.16× shorter than that of Contango2.0 and CTS of Purdue University respectively.
机译:时钟网络消耗了整个芯片功耗预算的很大一部分。与大多数使用时钟路由或缓冲区大小来处理功耗优化问题的传统作品相反,我们提出了一种新颖的寄存器聚类方法来降低时钟树的功耗。此外,提出了一种基于寄存器聚类的快速三阶段时钟树综合(CTS)方法,以验证该方法的有效性。通过与最新的低功耗CTS研究成果Contango2.0和普渡大学的CTS进行比较,我们的三阶段CTS方法可实现1.30倍,1.07倍的功耗降低,同时具有2.01倍,1.52倍的偏斜。此外,我们的CTS方法的运行时间分别比Contango2.0和Purdue University的CTS的运行时间短17.36倍,8.16倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号