...
首页> 外文期刊>Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on >A Fast and Near-Optimal Clustering Algorithm for Low-Power Clock Tree Synthesis
【24h】

A Fast and Near-Optimal Clustering Algorithm for Low-Power Clock Tree Synthesis

机译:一种低功耗时钟树综合的快速且近似最佳的聚类算法

获取原文
获取原文并翻译 | 示例
           

摘要

Clocks are known to be major source of power consumption in digital circuits. In this paper, we propose a clustering algorithm for the minimization of power in a local clock tree. Given a set of sequentials and their locations, clustering is performed to determine the clock buffers that are required to synchronize the sequentials, where a cluster implies that a clock buffer drives all the sequentials in the cluster. The results produced by the algorithm are often within $1.3times$ of the lower bound and have 32% lower costs, on average, than those due to an approximation algorithm with $2.5times$ faster runtimes. Compared to competitive heuristic from a vendor tool, the results due to the algorithm on several blocks in microprocessor designs in advanced nanometer technologies show 14% reduction, on average, in clock tree power while meeting skew or slew constraints. The algorithm has been employed for clock tree synthesis for several microprocessor designs across process generations due to consistently significant clock tree power savings over the results due to competitive alternatives.
机译:众所周知,时钟是数字电路功耗的主要来源。在本文中,我们提出了一种用于最小化本地时钟树中功率的聚类算法。给定一组序列及其位置,将执行聚类以确定同步序列所需的时钟缓冲区,其中集群表示时钟缓冲区驱动集群中的所有序列。与近似算法相比,该算法产生的结果通常在下限的1.3倍之内,且成本平均降低了32%,而该近似算法的运行时间要快2.5倍。与来自供应商工具的竞争性启发式方法相比,该算法在先进纳米技术的微处理器设计中的多个模块上得出的结果表明,时钟树功率平均降低了14%,同时满足了偏斜或偏斜约束。该算法已被用于跨进程的多个微处理器设计中的时钟树综合,这是由于与竞争性替代方案相比,结果始终如一地大大节省了时钟树功耗。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号