【24h】

Calvin: Deterministic or not? Free will to choose

机译:加尔文:确定性还是非确定性?自由选择

获取原文
获取外文期刊封面目录资料

摘要

Most shared memory systems maximize performance by unpredictably resolving memory races. Unpredictable memory races can lead to nondeterminism in parallel programs, which can suffer from hard-to-reproduce hiesenbugs. We introduce Calvin, a shared memory model capable of executing in a conventional nondeterministic mode when performance is paramount and a deterministic mode when execution repeatability is important. Unlike prior hardware proposals for deterministic execution, Calvin exploits the flexibility of a memory consistency model weaker than sequential consistency. Specifically, Calvin logically orders memory operations into strata that are compatible with the Total Store Order (TSO). Calvin is also designed with the needs of future power-aware processors in mind, and does not require any speculation support. We develop a Calvin-MIST implementation that uses an unordered coalescing write cache, multiple-write coherence protocol, and delayed (timebomb) invalidations while maintaining TSO compatibility. Results show that Calvin-MIST can execute workloads in conventional mode at speeds comparable to a conventional system (providing compatibility) or execute deterministically for a modest average slowdown of less than 20% (when determinism is valued).
机译:大多数共享内存系统通过意外解决内存争用来最大化性能。不可预测的内存争用会导致并行程序中的不确定性,从而导致难以重现的hiesenbug。我们介绍了Calvin,这是一种共享内存模型,当性能至关重要时,它可以在常规的非确定性模式下执行;而当执行的重复性很重要时,则可以在确定性模式下执行。与先前的确定性执行的硬件建议不同,Calvin利用内存一致性模型的灵活性弱于顺序一致性。具体而言,加尔文在逻辑上将存储操作划分为与总存储顺序(TSO)兼容的层次。卡尔文在设计时还考虑了未来的功耗感知处理器的需求,并且不需要任何推测支持。我们开发了Calvin-MIST实现,该实现使用无序合并写入缓存,多次写入一致性协议和延迟(定时炸弹)无效,同时保持TSO兼容性。结果表明,Calvin-MIST可以以与常规系统相当的速度(提供兼容性)以常规模式执行工作负载,或确定性地执行小于20%的适度平均速度下降(当确定性得到重视时)。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号