【24h】

Layout Pattern-Driven Design Rule Evaluation

机译:布局模式驱动的设计规则评估

获取原文
获取原文并翻译 | 示例

摘要

With the use of sub-wavelength photolithography, some layouts can have low printability and, accordingly, low yield due to the existence of bad patterns, even though they pass design rule checks. A reasonable approach is to select some of the candidate bad patterns as "forbidden". These are the ones with high yield-impact or low routability-impact, and these are to be prohibited in the design phase. The rest of the candidate bad patterns may be fixed in the post-route stage, in a best-effort manner. The process developers need to optimize the process to be friendly to the patterns of high routability-impact. Hence, an evaluation method is required early in the process, to assess the impact of forbidding layout patterns on routability. In this work, we propose Pattern-driven Design Rule Evaluation (Pattern-DRE), which can be used to evaluate the importance of patterns for the routability of the standard cells and, accordingly, select the set of bad patterns to forbid in the design. The framework can also be used to compare restrictive patterning technologies (e.g. LELE, SADP, SAQP, SAOP). Given a set of design rules and a set of forbidden patterns, Pattern-DRE generates a set of virtual standard cells, then it finds the possible routing options for each cell, without using any of the forbidden patterns. Finally, it reports the routability metrics. We present few studies that illustrate the use cases of the framework. The first study compares LELE to SADP, by using a set of forbidden patterns that are allowed by LELE but not by SADP. The second study investigates the area penalty as well as the SADP-compliance that we obtain if we increase the minimum gate-to-Local-Interconnect spacing design rule.
机译:使用亚波长光刻技术,即使通过设计规则检查,由于存在不良图案,某些布局的可印刷性也较低,因此良率较低。合理的方法是选择一些候选不良模式作为“禁止”。这些是高产量影响或低可布线性影响的产品,在设计阶段应予以禁止。其余的候选不良模式可以尽力而为地在路由后阶段中解决。流程开发人员需要优化流程以适应高可路由性影响的模式。因此,在该过程的早期就需要一种评估方法,以评估禁止布局模式对可布线性的影响。在这项工作中,我们提出了模式驱动的设计规则评估(Pattern-DRE),可用于评估模式对标准单元的可布线性的重要性,并因此选择在设计中禁止使用的不良模式集。该框架还可以用于比较限制性图案化技术(例如LELE,SADP,SAQP,SAOP)。给定一组设计规则和一组禁止模式,Pattern-DRE会生成一组虚拟标准单元,然后为每个单元找到可能的路由选项,而无需使用任何禁止模式。最后,它报告了可路由性指标。我们目前很少有研究来说明该框架的用例。第一项研究通过使用LELE允许但SADP不允许的一组禁止模式将LELE与SADP进行了比较。第二项研究调查了面积罚分以及增加最小门到本地互连间距设计规则后获得的SADP兼容性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号